Welcome to mirrors.dotsrc.org

All our mirrors of open source software are available via http, https, ftp and an onion service. More information about our mirrors including statistics and contact information is available on our mirror info pages.

For information about dotsrc.org and our other services please go to our website.

Index of /alpine/edge/testing/x86/

File Name  ↓ File Size  ↓ Date  ↓ 
Parent directory/--
3proxy-0.9.4-r0.apk363.3 KiB2023-09-18 05:37:04
3proxy-doc-0.9.4-r0.apk24.9 KiB2023-09-18 05:37:04
3proxy-openrc-0.9.4-r0.apk1.7 KiB2023-09-18 05:37:04
9base-6-r1.apk1.8 MiB2022-01-27 18:56:36
9base-doc-6-r1.apk63.5 KiB2022-01-27 18:56:36
9base-troff-6-r1.apk485.1 KiB2022-01-27 18:56:36
APKINDEX.tar.gz863.1 KiB2024-05-07 08:26:09
a2jmidid-9-r3.apk30.5 KiB2022-08-01 07:19:14
a2jmidid-doc-9-r3.apk4.2 KiB2022-08-01 07:19:14
abc-0_git20240102-r0.apk4.9 MiB2024-01-19 00:04:38
ace-of-penguins-1.4-r2.apk164.3 KiB2022-03-01 10:21:28
ace-of-penguins-doc-1.4-r2.apk48.4 KiB2022-03-01 10:21:28
acme-tiny-5.0.1-r2.apk8.2 KiB2024-04-15 23:59:54
acme-tiny-pyc-5.0.1-r2.apk10.1 KiB2024-04-15 23:59:54
acmetool-0.2.2-r5.apk4.3 MiB2024-04-06 20:37:34
acmetool-doc-0.2.2-r5.apk47.3 KiB2024-04-06 20:37:34
actionlint-1.6.27-r2.apk2.0 MiB2024-04-06 20:37:34
actionlint-doc-1.6.27-r2.apk5.3 KiB2024-04-06 20:37:34
adjtimex-1.29-r0.apk20.7 KiB2021-12-31 21:26:42
adjtimex-doc-1.29-r0.apk7.1 KiB2021-12-31 21:26:42
admesh-0.98.5-r0.apk27.3 KiB2022-12-05 10:14:11
admesh-dev-0.98.5-r0.apk4.0 KiB2022-12-05 10:14:11
admesh-doc-0.98.5-r0.apk23.4 KiB2022-12-05 10:14:11
advancemame-3.9-r4.apk12.0 MiB2023-03-15 08:48:31
advancemame-data-3.9-r4.apk5.8 MiB2023-03-15 08:48:31
advancemame-doc-3.9-r4.apk373.6 KiB2023-03-15 08:48:31
advancemame-menu-3.9-r4.apk953.1 KiB2023-03-15 08:48:31
advancemame-mess-3.9-r4.apk3.7 MiB2023-03-15 08:48:32
advancescan-1.18-r1.apk284.2 KiB2022-10-25 00:07:35
advancescan-doc-1.18-r1.apk7.3 KiB2022-10-25 00:07:35
aero2solver-1.0.0-r0.apk22.4 MiB2023-11-16 13:08:41
aero2solver-doc-1.0.0-r0.apk2.2 KiB2023-11-16 13:08:41
aero2solver-openrc-1.0.0-r0.apk2.1 KiB2023-11-16 13:08:41
afetch-2.2.0-r1.apk9.3 KiB2022-10-25 00:07:35
afetch-doc-2.2.0-r1.apk13.6 KiB2022-10-25 00:07:35
agate-3.3.7-r0.apk712.9 KiB2024-04-15 23:59:54
agate-openrc-3.3.7-r0.apk2.0 KiB2024-04-15 23:59:54
agg-2.5-r3.apk109.3 KiB2023-05-16 12:36:59
agg-dev-2.5-r3.apk201.3 KiB2023-05-16 12:36:59
agrep-0.8.0-r2.apk8.1 KiB2023-05-16 12:36:59
agrep-doc-0.8.0-r2.apk4.1 KiB2023-05-16 12:36:59
aide-0.18.6-r0.apk77.0 KiB2024-03-13 12:11:22
aide-doc-0.18.6-r0.apk13.8 KiB2024-03-13 12:11:22
alarmwakeup-0.2.1-r0.apk7.7 KiB2023-10-06 05:48:43
alarmwakeup-dbg-0.2.1-r0.apk16.8 KiB2023-10-06 05:48:43
alarmwakeup-dev-0.2.1-r0.apk2.6 KiB2023-10-06 05:48:43
alarmwakeup-libs-0.2.1-r0.apk4.6 KiB2023-10-06 05:48:43
alarmwakeup-utils-0.2.1-r0.apk4.2 KiB2023-10-06 05:48:43
alpine-lift-0.2.0-r15.apk3.4 MiB2024-04-06 20:37:34
alps-0_git20230807-r3.apk5.3 MiB2024-04-06 20:37:34
alps-openrc-0_git20230807-r3.apk2.1 KiB2024-04-06 20:37:34
alttab-1.7.1-r0.apk36.9 KiB2023-06-09 23:32:59
alttab-doc-1.7.1-r0.apk10.3 KiB2023-06-09 23:32:59
amazon-ssm-agent-3.3.418.0-r0.apk33.6 MiB2024-05-04 14:39:36
amazon-ssm-agent-openrc-3.3.418.0-r0.apk1.8 KiB2024-05-04 14:39:36
amdgpu-fan-0.1.0-r4.apk7.0 KiB2024-04-14 14:22:37
amdgpu-fan-pyc-0.1.0-r4.apk9.7 KiB2024-04-14 14:22:37
amiitool-2-r2.apk8.0 KiB2024-04-14 14:22:37
ampy-1.1.0-r5.apk15.8 KiB2024-04-14 14:22:37
ampy-doc-1.1.0-r5.apk3.4 KiB2024-04-14 14:22:37
ampy-pyc-1.1.0-r5.apk19.6 KiB2024-04-14 14:22:37
anarch-1.0-r1.apk96.8 KiB2022-08-20 14:14:26
anarch-doc-1.0-r1.apk18.0 KiB2022-08-20 14:14:26
anari-sdk-0.7.2-r0.apk298.8 KiB2023-11-04 01:43:19
anari-sdk-dev-0.7.2-r0.apk58.6 KiB2023-11-04 01:43:19
anari-sdk-static-0.7.2-r0.apk184.8 KiB2023-11-04 01:43:19
android-apkeep-0.16.0-r0.apk2.0 MiB2024-04-05 11:41:50
android-file-transfer-4.3-r0.apk194.7 KiB2023-12-28 23:18:39
android-file-transfer-cli-4.3-r0.apk118.9 KiB2023-12-28 23:18:39
android-file-transfer-dev-4.3-r0.apk1.5 KiB2023-12-28 23:18:39
android-file-transfer-libs-4.3-r0.apk137.0 KiB2023-12-28 23:18:39
angband-4.2.5-r0.apk22.6 MiB2024-01-27 22:46:19
ansible-bender-0.10.1-r2.apk36.3 KiB2024-04-14 14:22:37
ansible-bender-doc-0.10.1-r2.apk10.1 KiB2024-04-14 14:22:37
ansible-bender-pyc-0.10.1-r2.apk65.0 KiB2024-04-14 14:22:37
ansiweather-1.19.0-r1.apk4.7 KiB2023-07-30 16:34:11
ansiweather-doc-1.19.0-r1.apk3.0 KiB2023-07-30 16:34:11
antibody-6.1.1-r20.apk1.7 MiB2024-04-06 20:37:34
apache-mod-auth-gssapi-1.6.5-r1.apk58.1 KiB2023-05-16 12:36:59
apache-mod-auth-openidc-2.4.15.7-r1.apk186.1 KiB2024-05-07 03:39:06
apache-mod-auth-openidc-doc-2.4.15.7-r1.apk4.7 KiB2024-05-07 03:39:06
apache-mod-auth-openidc-static-2.4.15.7-r1.apk229.6 KiB2024-05-07 03:39:06
apache2-mod-authnz-external-3.3.3-r0.apk8.3 KiB2020-07-22 16:33:22
apache2-mod-authnz-external-doc-3.3.3-r0.apk10.0 KiB2020-07-22 16:33:22
apache2-mod-perl-2.0.13-r0.apk693.3 KiB2023-10-22 12:58:58
apache2-mod-perl-dbg-2.0.13-r0.apk66.6 KiB2023-10-22 12:58:58
apache2-mod-perl-dev-2.0.13-r0.apk39.8 KiB2023-10-22 12:58:58
apache2-mod-perl-doc-2.0.13-r0.apk302.5 KiB2023-10-22 12:58:58
apache2-mod-realdoc-1-r1.apk5.1 KiB2020-07-22 16:33:22
aparte-0.2.0_git20240324-r0.apk2.2 MiB2024-04-01 00:38:23
apk-autoupdate-0_git20210421-r0.apk13.8 KiB2022-01-15 22:54:00
apk-autoupdate-doc-0_git20210421-r0.apk6.8 KiB2022-01-15 22:54:00
apk-readme-0.1-r1.apk1.3 KiB2020-07-22 16:33:22
apk-snap-3.1.1-r0.apk6.6 KiB2024-01-22 15:55:08
apk-snap-doc-3.1.1-r0.apk19.9 KiB2024-01-22 15:55:08
apk-tools3-3.0.0_pre2_git20240401-r0.apk49.2 KiB2024-04-02 19:46:56
apk-tools3-dbg-3.0.0_pre2_git20240401-r0.apk514.5 KiB2024-04-02 19:46:56
apk-tools3-dev-3.0.0_pre2_git20240401-r0.apk622.3 KiB2024-04-02 19:46:56
apk-tools3-doc-3.0.0_pre2_git20240401-r0.apk33.4 KiB2024-04-02 19:46:56
apk-tools3-libs-3.0.0_pre2_git20240401-r0.apk124.2 KiB2024-04-02 19:46:56
apk-tools3-static-3.0.0_pre2_git20240401-r0.apk2.0 MiB2024-04-02 19:46:56
apmpkg-1.5.1-r3.apk1.6 MiB2023-07-01 09:31:18
apmpkg-bash-completion-1.5.1-r3.apk2.2 KiB2023-07-01 09:31:18
apmpkg-doc-1.5.1-r3.apk3.1 KiB2023-07-01 09:31:18
apmpkg-fish-completion-1.5.1-r3.apk2.1 KiB2023-07-01 09:31:18
apmpkg-zsh-completion-1.5.1-r3.apk2.4 KiB2023-07-01 09:31:18
apollo-0.2.1-r2.apk3.7 MiB2024-04-06 20:37:34
apollo-doc-0.2.1-r2.apk18.5 KiB2024-04-06 20:37:34
apollo-openrc-0.2.1-r2.apk1.8 KiB2024-04-06 20:37:34
aports-glmr-0.2-r20.apk2.3 MiB2024-04-06 20:37:34
apprise-1.7.6-r0.apk970.1 KiB2024-04-15 23:59:54
apprise-doc-1.7.6-r0.apk5.1 KiB2024-04-15 23:59:54
apprise-pyc-1.7.6-r0.apk704.8 KiB2024-04-15 23:59:54
apt-dater-1.0.4-r3.apk57.1 KiB2023-04-30 01:19:53
apt-dater-doc-1.0.4-r3.apk9.9 KiB2023-04-30 01:19:53
apt-dater-lang-1.0.4-r3.apk13.2 KiB2023-04-30 01:19:53
apt-mirror-0.5.4-r0.apk9.4 KiB2023-01-05 22:22:52
apt-mirror-doc-0.5.4-r0.apk4.6 KiB2023-01-05 22:22:52
aptdec-1.8.0-r0.apk87.3 KiB2023-02-22 11:32:26
aptdec-dev-1.8.0-r0.apk3.5 KiB2023-02-22 11:32:26
aptdec-libs-1.8.0-r0.apk15.1 KiB2023-02-22 11:32:26
apulse-0.1.13-r1.apk44.8 KiB2022-10-25 00:07:35
apulse-doc-0.1.13-r1.apk2.8 KiB2022-10-25 00:07:35
aqemu-0.9.4-r3.apk1.7 MiB2023-04-08 10:53:08
aqemu-doc-0.9.4-r3.apk7.5 KiB2023-04-08 10:53:08
aravis-0.8.31-r0.apk45.8 KiB2024-03-16 13:31:30
aravis-dev-0.8.31-r0.apk34.3 KiB2024-03-16 13:31:30
aravis-libs-0.8.31-r0.apk188.5 KiB2024-03-16 13:31:30
aravis-viewer-0.8.31-r0.apk69.8 KiB2024-03-16 13:31:30
aravis-viewer-lang-0.8.31-r0.apk16.0 KiB2024-03-16 13:31:30
arc-20221218-r0.apk1.7 KiB2023-01-07 13:34:20
arc-cinnamon-20221218-r0.apk68.0 KiB2023-01-07 13:34:20
arc-dark-20221218-r0.apk1.8 KiB2023-01-07 13:34:20
arc-dark-cinnamon-20221218-r0.apk68.4 KiB2023-01-07 13:34:20
arc-dark-gnome-20221218-r0.apk27.1 KiB2023-01-07 13:34:20
arc-dark-gtk2-20221218-r0.apk38.4 KiB2023-01-07 13:34:20
arc-dark-gtk3-20221218-r0.apk93.3 KiB2023-01-07 13:34:20
arc-dark-gtk4-20221218-r0.apk86.2 KiB2023-01-07 13:34:20
arc-dark-metacity-20221218-r0.apk17.5 KiB2023-01-07 13:34:20
arc-dark-xfwm-20221218-r0.apk7.9 KiB2023-01-07 13:34:20
arc-darker-20221218-r0.apk1.8 KiB2023-01-07 13:34:20
arc-darker-gtk2-20221218-r0.apk38.5 KiB2023-01-07 13:34:20
arc-darker-gtk3-20221218-r0.apk123.7 KiB2023-01-07 13:34:20
arc-darker-gtk4-20221218-r0.apk110.2 KiB2023-01-07 13:34:20
arc-darker-metacity-20221218-r0.apk17.5 KiB2023-01-07 13:34:20
arc-darker-xfwm-20221218-r0.apk7.9 KiB2023-01-07 13:34:20
arc-gnome-20221218-r0.apk28.6 KiB2023-01-07 13:34:20
arc-gtk2-20221218-r0.apk37.5 KiB2023-01-07 13:34:20
arc-gtk3-20221218-r0.apk125.9 KiB2023-01-07 13:34:20
arc-gtk4-20221218-r0.apk113.5 KiB2023-01-07 13:34:20
arc-icon-theme-20161122-r0.apk4.4 MiB2021-05-04 21:06:27
arc-lighter-20221218-r0.apk1.8 KiB2023-01-07 13:34:20
arc-lighter-gtk2-20221218-r0.apk37.5 KiB2023-01-07 13:34:20
arc-lighter-gtk3-20221218-r0.apk124.6 KiB2023-01-07 13:34:20
arc-lighter-gtk4-20221218-r0.apk112.8 KiB2023-01-07 13:34:20
arc-lighter-metacity-20221218-r0.apk17.3 KiB2023-01-07 13:34:20
arc-lighter-xfwm-20221218-r0.apk7.7 KiB2023-01-07 13:34:20
arc-metacity-20221218-r0.apk17.2 KiB2023-01-07 13:34:20
arc-theme-20221218-r0.apk1.4 KiB2023-01-07 13:34:20
arc-xfwm-20221218-r0.apk7.7 KiB2023-01-07 13:34:20
arcticons-icon-theme-9.2.4.1-r0.apk1.4 KiB2024-05-06 21:45:28
arcticons-icon-theme-dark-9.2.4.1-r0.apk419.8 KiB2024-05-06 21:45:28
arcticons-icon-theme-light-9.2.4.1-r0.apk419.5 KiB2024-05-06 21:45:28
ardour-7.5.0-r2.apk26.0 MiB2023-10-31 11:19:19
armagetronad-0.2.9.1.1-r0.apk1.6 MiB2024-02-12 23:14:58
armagetronad-doc-0.2.9.1.1-r0.apk92.0 KiB2024-02-12 23:14:58
aspell-es-1.11-r0.apk533.0 KiB2022-05-12 09:04:51
asteroid-alarmclock-2.0.0-r0.apk27.9 KiB2023-08-31 09:46:50
asteroid-btsyncd-2.0.0-r0.apk84.8 KiB2023-09-01 06:31:41
asteroid-calculator-2.0.0-r0.apk16.0 KiB2023-08-31 09:46:50
asteroid-calendar-2.0.0-r0.apk22.3 KiB2023-08-31 09:46:50
asteroid-camera-2.0.0-r0.apk4.8 KiB2023-08-31 09:46:50
asteroid-compass-2.0.0-r0.apk13.3 KiB2023-08-31 09:46:50
asteroid-diamonds-2.0.0-r0.apk17.5 KiB2023-08-31 09:46:50
asteroid-flashlight-2.0.0-r0.apk11.6 KiB2023-08-31 09:46:50
asteroid-hrm-2.0.0-r0.apk13.7 KiB2023-08-31 09:46:50
asteroid-icons-ion-2.0.0-r0.apk223.2 KiB2023-09-01 06:31:42
asteroid-languages-0_git20230112-r0.apk6.3 KiB2023-05-11 08:59:32
asteroid-launcher-2.0.0-r0.apk1.7 MiB2023-08-31 09:46:50
asteroid-launcher-dbg-2.0.0-r0.apk927.3 KiB2023-08-31 09:46:50
asteroid-music-2.0.0-r0.apk14.6 KiB2023-08-31 09:46:50
asteroid-settings-2.0.0-r0.apk91.4 KiB2023-08-31 09:46:50
asteroid-stopwatch-2.0.0-r0.apk12.0 KiB2023-08-31 09:46:50
asteroid-timer-2.0.0-r0.apk12.4 KiB2023-08-31 09:46:50
asteroid-wallpapers-2.0.0-r0.apk5.5 MiB2023-09-01 06:31:42
asteroid-weather-2.0.0-r0.apk19.4 KiB2023-08-31 09:46:50
asymptote-2.86-r0.apk1.4 MiB2023-08-20 13:43:40
asymptote-doc-2.86-r0.apk2.8 MiB2023-08-20 13:43:40
atlantik-3.5.10_git20240323-r0.apk396.1 KiB2024-03-23 19:44:10
atlantik-doc-3.5.10_git20240323-r0.apk79.3 KiB2024-03-23 19:44:10
atlantik-lang-3.5.10_git20240323-r0.apk68.6 KiB2024-03-23 19:44:10
atomicparsley-20221229-r1.apk124.6 KiB2023-02-11 14:01:53
atool-0.39.0-r4.apk17.7 KiB2022-10-25 00:07:36
atool-bash-completion-0.39.0-r4.apk2.0 KiB2022-10-25 00:07:36
atool-doc-0.39.0-r4.apk9.6 KiB2022-10-25 00:07:36
aufs-util-20161219-r2.apk185.4 KiB2023-12-07 15:25:36
aufs-util-dev-20161219-r2.apk1.5 KiB2023-12-07 15:25:36
aufs-util-doc-20161219-r2.apk34.0 KiB2023-12-07 15:25:36
authenticator-rs-0.7.5-r0.apk1.9 MiB2023-05-29 21:23:18
authenticator-rs-lang-0.7.5-r0.apk3.7 KiB2023-05-29 21:23:18
autoconf-policy-0.1-r0.apk5.5 KiB2020-07-22 16:33:24
autorandr-1.15-r0.apk20.6 KiB2024-03-16 12:36:00
autorandr-bash-completion-1.15-r0.apk2.3 KiB2024-03-16 12:36:00
autorandr-doc-1.15-r0.apk3.8 KiB2024-03-16 12:36:00
autorandr-launcher-1.15-r0.apk5.6 KiB2024-03-16 12:36:00
autorandr-udev-1.15-r0.apk1.7 KiB2024-03-16 12:36:00
autorestic-1.8.2-r1.apk3.5 MiB2024-04-06 20:37:34
autotrash-0.4.6-r1.apk23.2 KiB2024-04-14 14:22:37
autotrash-pyc-0.4.6-r1.apk14.1 KiB2024-04-14 14:22:37
avara-0.7.1-r0.apk21.5 MiB2023-03-15 08:48:32
avarice-2.14-r3.apk79.9 KiB2022-10-17 12:57:35
avarice-doc-2.14-r3.apk9.5 KiB2022-10-17 12:57:35
avdl-0.4.3-r1.apk204.0 KiB2022-08-20 14:14:26
avdl-doc-0.4.3-r1.apk2.7 KiB2022-08-20 14:14:26
avida-0_git20190813-r1.apk2.0 MiB2022-10-25 00:07:37
avr-libc-git-0_git20240218-r4.apk14.0 MiB2024-02-23 21:25:06
avr-libc-git-doc-0_git20240218-r4.apk24.1 KiB2024-02-23 21:25:06
avra-1.4.2-r0.apk39.0 KiB2023-08-21 05:37:55
avra-dev-1.4.2-r0.apk254.7 KiB2023-08-21 05:37:55
awscli-plugin-endpoint-0.4-r2.apk4.5 KiB2023-04-23 19:45:46
awscli-plugin-endpoint-pyc-0.4-r2.apk3.4 KiB2023-04-23 19:45:46
azorius-0.3.4-r2.apk4.2 MiB2024-04-06 20:37:34
azorius-doc-0.3.4-r2.apk9.8 KiB2024-04-06 20:37:34
azorius-openrc-0.3.4-r2.apk2.6 KiB2024-04-06 20:37:34
azote-1.12.7-r0.apk7.6 MiB2024-05-06 21:45:30
azote-pyc-1.12.7-r0.apk98.2 KiB2024-05-06 21:45:30
azpainter-3.0.7-r0.apk892.1 KiB2023-11-20 14:59:51
azpainter-doc-3.0.7-r0.apk42.0 KiB2023-11-20 14:59:51
azure-iot-sdk-c-static-1.11.0-r0.apk755.7 KiB2023-10-19 16:23:05
b2sum-20190729-r2.apk16.1 KiB2022-10-25 00:07:37
b2sum-doc-20190729-r2.apk2.7 KiB2022-10-25 00:07:37
b4-0.13.0-r0.apk98.9 KiB2024-02-17 21:16:24
b4-doc-0.13.0-r0.apk7.8 KiB2024-02-17 21:16:24
b4-pyc-0.13.0-r0.apk202.3 KiB2024-02-17 21:16:24
backup-manager-0.7.15-r1.apk55.3 KiB2022-10-25 00:07:37
baikal-0.9.5-r0.apk1.3 MiB2024-03-20 21:45:37
baikal_sqlite-0.9.5-r0.apk1.6 KiB2024-03-20 21:45:37
bake-2.5.1-r0.apk121.3 KiB2022-12-22 22:48:49
bakelite-0.4.2-r0.apk43.9 KiB2022-04-28 16:37:06
bananui-2.0.0-r0.apk55.3 KiB2023-10-06 05:48:43
bananui-clock-0.1.0-r0.apk7.2 KiB2023-10-06 05:48:43
bananui-daemons-0.1.0-r0.apk45.9 KiB2023-10-06 05:48:43
bananui-dbg-2.0.0-r0.apk156.4 KiB2023-10-06 05:48:43
bananui-demos-2.0.0-r0.apk8.9 KiB2023-10-06 05:48:43
bananui-dev-2.0.0-r0.apk84.2 KiB2023-10-06 05:48:43
bananui-shell-0.2.0-r0.apk103.3 KiB2024-02-27 23:07:59
bankstown-lv2-1.1.0-r0.apk163.4 KiB2024-01-04 19:56:43
barcode-0.99-r1.apk50.9 KiB2023-01-03 13:56:41
barman-3.10.0-r1.apk321.4 KiB2024-04-15 23:59:54
barman-bash-completion-3.10.0-r1.apk1.6 KiB2024-04-15 23:59:54
barman-doc-3.10.0-r1.apk48.8 KiB2024-04-15 23:59:54
barman-pyc-3.10.0-r1.apk515.4 KiB2024-04-15 23:59:54
barnyard2-2.1.14_git20160413-r1.apk140.8 KiB2022-10-25 00:07:37
barnyard2-openrc-2.1.14_git20160413-r1.apk2.8 KiB2022-10-25 00:07:37
barrier-2.4.0-r1.apk1018.4 KiB2022-08-04 11:51:08
barrier-doc-2.4.0-r1.apk13.2 KiB2022-08-04 11:51:08
bartib-1.0.1-r1.apk365.3 KiB2023-05-24 09:34:18
base64c-0.2.1-r0.apk4.4 KiB2023-11-18 17:32:21
base64c-dev-0.2.1-r0.apk5.5 KiB2023-11-18 17:32:21
bcg729-1.1.1-r0.apk34.4 KiB2020-12-02 08:32:39
bcg729-dev-1.1.1-r0.apk3.5 KiB2020-12-02 08:32:39
bchunk-1.2.2-r2.apk8.2 KiB2022-10-25 00:07:37
bchunk-doc-1.2.2-r2.apk3.1 KiB2022-10-25 00:07:37
bcnm-0.0.1.7-r0.apk14.0 KiB2023-11-06 21:56:47
bcnm-dev-0.0.1.7-r0.apk16.2 KiB2023-11-06 21:56:47
bcnm-doc-0.0.1.7-r0.apk11.4 KiB2023-11-06 21:56:47
bdfr-2.6.2-r1.apk130.9 KiB2024-04-15 23:59:54
beancount-language-server-1.3.4-r0.apk1.3 MiB2024-02-05 23:54:40
beard-0.4-r0.apk3.1 KiB2022-08-28 16:20:58
beard-doc-0.4-r0.apk2.5 KiB2022-08-28 16:20:58
bees-0.10-r0.apk655.0 KiB2023-09-05 12:13:02
bees-openrc-0.10-r0.apk2.0 KiB2023-09-05 12:13:02
belcard-5.3.38-r0.apk11.7 KiB2024-04-14 14:22:37
belcard-dev-5.3.38-r0.apk12.2 KiB2024-04-14 14:22:37
belcard-libs-5.3.38-r0.apk223.5 KiB2024-04-14 14:22:37
belle-sip-5.3.38-r0.apk633.0 KiB2024-04-14 14:22:37
belle-sip-dev-5.3.38-r0.apk54.9 KiB2024-04-14 14:22:37
belr-5.3.38-r0.apk117.4 KiB2024-04-14 14:22:37
belr-dev-5.3.38-r0.apk14.9 KiB2024-04-14 14:22:37
berry-lang-1.1.0-r0.apk126.7 KiB2022-08-12 22:34:47
bestline-0.0_git20211108-r0.apk22.4 KiB2022-02-13 10:30:03
bestline-dev-0.0_git20211108-r0.apk1.7 KiB2022-02-13 10:30:03
bestline-doc-0.0_git20211108-r0.apk17.6 MiB2022-02-13 10:30:04
bettercap-2.32.0-r20.apk9.3 MiB2024-04-06 20:37:34
betula-1.1.0-r2.apk3.6 MiB2024-04-06 20:37:34
betula-openrc-1.1.0-r2.apk1.9 KiB2024-04-06 20:37:34
bgpq4-1.12-r0.apk32.4 KiB2024-02-13 22:39:02
bgpq4-doc-1.12-r0.apk6.4 KiB2024-02-13 22:39:02
bgs-0.8-r1.apk5.6 KiB2022-10-25 00:07:38
bgs-doc-0.8-r1.apk2.3 KiB2022-10-25 00:07:38
bindfs-1.17.6-r0.apk21.6 KiB2023-12-03 10:03:22
bindfs-doc-1.17.6-r0.apk9.1 KiB2023-12-03 10:03:22
binwalk-2.4.1-r0.apk147.3 KiB2024-05-01 09:33:29
binwalk-pyc-2.4.1-r0.apk166.3 KiB2024-05-01 09:33:29
biometryd-0.3.1-r0.apk325.8 KiB2024-02-07 02:03:11
biometryd-dev-0.3.1-r0.apk14.2 KiB2024-02-07 02:03:11
birdtray-1.9.0-r1.apk421.3 KiB2022-10-25 00:07:38
bitlbee-facebook-1.2.2-r0.apk67.4 KiB2022-10-01 23:53:54
bitlbee-mastodon-1.4.5-r0.apk52.2 KiB2022-07-05 15:31:34
bitritter-0_git20240415-r0.apk2.1 MiB2024-04-19 04:37:49
blackbox-1.20220610-r0.apk15.7 KiB2022-12-22 17:55:52
blip-0.10-r0.apk15.3 KiB2020-07-22 16:33:25
blip-doc-0.10-r0.apk29.6 KiB2020-07-22 16:33:25
bliss-0.77-r1.apk74.7 KiB2023-08-01 13:01:19
bliss-dev-0.77-r1.apk113.5 KiB2023-08-01 13:01:19
bm818-tools-0.7-r0.apk5.5 KiB2023-12-16 15:14:24
bobcat-4.09.00-r0.apk730.3 KiB2023-10-06 07:10:38
bobcat-dev-4.09.00-r0.apk651.0 KiB2023-10-06 07:10:38
bobcat-doc-4.09.00-r0.apk345.4 KiB2023-10-06 07:10:38
boinc-7.24.3-r0.apk1.6 MiB2024-02-22 01:05:19
boinc-dev-7.24.3-r0.apk590.2 KiB2024-02-22 01:05:19
boinc-doc-7.24.3-r0.apk8.0 KiB2024-02-22 01:05:19
boinc-gui-7.24.3-r0.apk1.0 MiB2024-02-22 01:05:19
boinc-lang-7.24.3-r0.apk877.0 KiB2024-02-22 01:05:19
boinc-libs-7.24.3-r0.apk213.9 KiB2024-02-22 01:05:19
boinc-screensaver-7.24.3-r0.apk140.7 KiB2024-02-22 01:05:19
bonzomatic-20230615-r0.apk649.2 KiB2023-09-28 05:16:34
bootinfo-0.1.0-r3.apk6.9 KiB2024-04-15 23:59:54
bootinfo-pyc-0.1.0-r3.apk8.3 KiB2024-04-15 23:59:54
bootloose-0.7.1-r3.apk2.1 MiB2024-04-06 20:37:35
bootterm-0.4-r2.apk19.7 KiB2022-10-25 00:07:38
bootterm-dbg-0.4-r2.apk2.3 KiB2022-10-25 00:07:38
bordeaux-0.8.1-r0.apk175.1 KiB2024-02-27 23:07:59
bordeaux-coventry-0.8.1-r0.apk64.7 KiB2024-02-27 23:07:59
bordeaux-dev-0.8.1-r0.apk45.0 KiB2024-02-27 23:07:59
bordeaux-doc-0.8.1-r0.apk27.1 KiB2024-02-27 23:07:59
bordeaux-en_voices-0.8.1-r0.apk686.3 KiB2024-02-27 23:07:59
bordeaux-generic-0.8.1-r0.apk55.6 KiB2024-02-27 23:07:59
bordeaux-openrc-0.8.1-r0.apk2.1 KiB2024-02-27 23:07:59
bore-0.5.0-r1.apk537.1 KiB2023-05-24 09:34:18
boson-0_git20211219-r0.apk19.1 KiB2022-07-10 18:36:16
boxed-cpp-1.4.0-r0.apk1.4 KiB2024-03-02 15:02:07
boxed-cpp-dev-1.4.0-r0.apk6.4 KiB2024-03-02 15:02:07
boxed-cpp-doc-1.4.0-r0.apk5.5 KiB2024-03-02 15:02:07
boxes-2.2.1-r0.apk59.5 KiB2023-09-06 13:12:02
boxes-doc-2.2.1-r0.apk6.9 KiB2023-09-06 13:12:02
brial-1.2.11-r3.apk1.1 MiB2024-04-22 19:32:52
brial-dev-1.2.11-r3.apk1.6 MiB2024-04-22 19:32:52
brltty-6.6-r1.apk2.1 MiB2023-11-09 22:14:19
brltty-dev-6.6-r1.apk146.1 KiB2023-11-09 22:14:19
brltty-doc-6.6-r1.apk9.5 KiB2023-11-09 22:14:19
brltty-lang-6.6-r1.apk121.0 KiB2023-11-09 22:14:19
brltty-static-6.6-r1.apk24.0 KiB2023-11-09 22:14:19
btcd-0.23.4-r5.apk14.2 MiB2024-04-06 20:37:35
btfs-2.24-r12.apk32.4 KiB2024-04-22 19:32:52
btfs-doc-2.24-r12.apk2.4 KiB2024-04-22 19:32:52
btpd-0.16-r2.apk90.6 KiB2022-08-04 09:43:54
btpd-doc-0.16-r2.apk8.4 KiB2022-08-04 09:43:54
buildcache-0.28.9-r0.apk847.1 KiB2024-01-30 15:17:08
bump2version-1.0.1-r6.apk20.8 KiB2024-04-15 23:59:54
bump2version-pyc-1.0.1-r6.apk29.1 KiB2024-04-15 23:59:54
burp-3.1.4-r0.apk203.4 KiB2023-03-17 19:05:46
burp-doc-3.1.4-r0.apk99.4 KiB2023-03-17 19:05:46
burp-server-3.1.4-r0.apk36.3 KiB2023-03-17 19:05:46
butane-0.19.0-r3.apk2.6 MiB2024-04-06 20:37:35
bwrap-oci-0.2-r1.apk17.4 KiB2022-10-25 00:07:38
bwrap-oci-doc-0.2-r1.apk2.5 KiB2022-10-25 00:07:38
c2rust-0.18.0-r1.apk1.9 MiB2024-01-19 21:16:01
cadence-0.9.2-r0.apk1.9 MiB2022-10-09 15:22:20
caffeine-ng-4.2.0-r1.apk100.4 KiB2024-04-16 01:33:03
caffeine-ng-doc-4.2.0-r1.apk3.2 KiB2024-04-16 01:33:03
caffeine-ng-lang-4.2.0-r1.apk34.4 KiB2024-04-16 01:33:03
caja-gtkhash-plugin-1.5-r0.apk27.8 KiB2022-10-01 21:33:12
caps2esc-0.3.2-r0.apk4.6 KiB2023-06-29 09:30:23
carapace-1.0.2-r0.apk12.2 MiB2024-05-06 14:34:27
care-2.3.0-r0.apk102.2 KiB2024-02-10 20:01:23
care-doc-2.3.0-r0.apk7.9 KiB2024-02-10 20:01:23
cargo-crev-0.25.5-r0.apk5.4 MiB2023-12-18 04:04:36
cargo-generate-0.20.0-r0.apk2.0 MiB2024-04-01 19:46:10
cargo-machete-0.6.2-r0.apk1.2 MiB2024-03-24 14:43:13
cargo-machete-doc-0.6.2-r0.apk4.0 KiB2024-03-24 14:43:13
cargo-run-bin-1.7.2-r0.apk447.9 KiB2024-01-19 02:14:37
cargo-run-bin-doc-1.7.2-r0.apk5.1 KiB2024-01-19 02:14:37
cargo-shuttle-0.44.0-r0.apk4.7 MiB2024-04-23 13:54:34
cargo-shuttle-bash-completion-0.44.0-r0.apk3.7 KiB2024-04-23 13:54:34
cargo-shuttle-doc-0.44.0-r0.apk9.0 KiB2024-04-23 13:54:34
cargo-shuttle-fish-completion-0.44.0-r0.apk4.1 KiB2024-04-23 13:54:34
cargo-shuttle-zsh-completion-0.44.0-r0.apk5.4 KiB2024-04-23 13:54:34
cargo-sort-1.0.9_git20240110-r0.apk411.8 KiB2024-04-22 19:32:52
cargo-update-13.4.0-r0.apk1.4 MiB2024-05-04 14:39:36
cargo-update-doc-13.4.0-r0.apk7.8 KiB2024-05-04 14:39:36
cargo-vendor-filterer-0.5.9-r1.apk458.4 KiB2023-05-24 09:34:18
castero-0.9.5-r2.apk49.7 KiB2023-04-23 19:45:46
castero-pyc-0.9.5-r2.apk98.8 KiB2023-04-23 19:45:46
castor-0.9.0-r2.apk711.4 KiB2023-05-24 09:34:18
catcodec-1.0.5-r2.apk13.7 KiB2022-05-14 10:57:25
catcodec-doc-1.0.5-r2.apk4.9 KiB2022-05-14 10:57:25
catdoc-0.95-r1.apk112.2 KiB2022-10-25 00:07:38
catdoc-doc-0.95-r1.apk9.2 KiB2022-10-25 00:07:38
cava-0.10.1-r0.apk39.9 KiB2024-02-03 16:46:42
ccrtp-2.1.2-r0.apk112.0 KiB2022-06-04 21:00:47
ccrtp-dev-2.1.2-r0.apk52.7 KiB2022-06-04 21:00:47
ccrtp-doc-2.1.2-r0.apk31.3 KiB2022-06-04 21:00:47
ccze-0.2.1-r1.apk50.8 KiB2022-09-07 20:38:33
ccze-dev-0.2.1-r1.apk3.3 KiB2022-09-07 20:38:33
ccze-doc-0.2.1-r1.apk8.8 KiB2022-09-07 20:38:33
cdba-1.0-r0.apk8.0 KiB2023-11-12 13:33:40
cdba-server-1.0-r0.apk22.0 KiB2023-11-12 13:33:40
cddlib-0.94m-r2.apk218.5 KiB2023-08-01 13:01:19
cddlib-dev-0.94m-r2.apk14.1 KiB2023-08-01 13:01:19
cddlib-doc-0.94m-r2.apk863.7 KiB2023-08-01 13:01:19
cddlib-static-0.94m-r2.apk284.7 KiB2023-08-01 13:01:19
cddlib-tools-0.94m-r2.apk36.2 KiB2023-08-01 13:01:19
cdist-7.0.0-r5.apk460.7 KiB2024-04-15 23:59:55
cdist-pyc-7.0.0-r5.apk127.6 KiB2024-04-15 23:59:55
cdogs-sdl-2.1.0-r0.apk33.6 MiB2024-04-17 11:29:19
certbot-dns-njalla-1.0.2-r2.apk9.5 KiB2024-04-15 23:59:55
certigo-1.16.0-r15.apk3.4 MiB2024-04-06 20:37:36
certstrap-1.3.0-r15.apk2.1 MiB2024-04-06 20:37:36
cfssl-1.6.4-r6.apk30.8 MiB2024-04-06 20:37:38
cgiirc-0.5.12-r1.apk133.1 KiB2023-12-25 08:15:28
cgo-0.6.1-r1.apk10.8 KiB2022-10-25 00:07:38
cgo-doc-0.6.1-r1.apk4.1 KiB2022-10-25 00:07:38
chamo-4.0-r0.apk5.8 MiB2024-04-22 06:18:04
chamo-byte-4.0-r0.apk1.5 MiB2024-04-22 06:18:04
chamo-dev-4.0-r0.apk4.1 MiB2024-04-22 06:18:04
charls-2.4.2-r0.apk67.3 KiB2023-11-03 02:33:17
charls-dev-2.4.2-r0.apk26.6 KiB2023-11-03 02:33:17
checkpolicy-3.6-r0.apk354.1 KiB2024-01-07 21:52:31
checkpolicy-doc-3.6-r0.apk4.2 KiB2024-01-07 21:52:31
cherrytree-1.1.2-r0.apk2.6 MiB2024-04-12 00:01:46
cherrytree-doc-1.1.2-r0.apk2.1 KiB2024-04-12 00:01:46
cherrytree-lang-1.1.2-r0.apk812.3 KiB2024-04-12 00:01:46
chiaki-2.2.0-r0.apk326.0 KiB2024-01-26 14:41:21
chicago95-3.0.1-r0.apk491.4 KiB2024-01-19 03:37:12
chicago95-fonts-3.0.1-r0.apk214.8 KiB2024-01-19 03:37:12
chicago95-icons-3.0.1-r0.apk11.7 MiB2024-01-19 03:37:12
chim-1.1.2-r1.apk1.7 MiB2023-05-24 09:34:18
chim-doc-1.1.2-r1.apk2.8 KiB2023-05-24 09:34:18
chocolate-doom-3.0.1-r3.apk1.8 MiB2023-02-13 14:30:09
chocolate-doom-doc-3.0.1-r3.apk121.9 KiB2023-02-13 14:30:09
cilium-cli-0.16.6-r0.apk53.3 MiB2024-05-06 21:35:40
cilium-cli-bash-completion-0.16.6-r0.apk5.1 KiB2024-05-06 21:35:40
cilium-cli-fish-completion-0.16.6-r0.apk4.3 KiB2024-05-06 21:35:40
cilium-cli-zsh-completion-0.16.6-r0.apk4.0 KiB2024-05-06 21:35:40
cimg-3.3.5-r0.apk824.6 KiB2024-03-13 03:29:32
cinny-3.2.1-r1.apk6.6 MiB2024-03-20 10:59:16
cinny-web-3.2.0-r0.apk4.4 MiB2024-01-18 01:30:02
circuslinux-1.0.3-r1.apk19.8 KiB2021-12-05 01:04:14
circuslinux-data-1.0.3-r1.apk1.1 MiB2021-12-05 01:04:14
circuslinux-doc-1.0.3-r1.apk18.0 KiB2021-12-05 01:04:14
ckb-next-0.6.0-r1.apk1.3 MiB2023-07-19 19:11:03
ckb-next-daemon-0.6.0-r1.apk69.3 KiB2023-07-19 19:11:03
ckb-next-daemon-openrc-0.6.0-r1.apk1.9 KiB2023-07-19 19:11:03
ckb-next-dev-0.6.0-r1.apk5.0 KiB2023-07-19 19:11:03
clapper-0.6.0-r0.apk258.4 KiB2024-04-26 21:57:08
clapper-lang-0.6.0-r0.apk41.7 KiB2024-04-26 21:57:08
clatd-1.6-r0.apk12.6 KiB2023-04-17 23:02:14
clementine-1.4.0_git20220324-r11.apk7.7 MiB2024-04-22 19:32:52
clevis-19-r0.apk46.4 KiB2023-01-29 19:27:37
clevis-bash-completion-19-r0.apk2.1 KiB2023-01-29 19:27:37
clevis-dbg-19-r0.apk46.8 KiB2023-01-29 19:27:37
clevis-doc-19-r0.apk22.2 KiB2023-01-29 19:27:37
clevis-extra-pins-0_git20230629-r0.apk4.7 KiB2024-02-13 23:31:49
click-0.5.2-r1.apk162.0 KiB2024-04-15 23:59:55
click-dev-0.5.2-r1.apk9.3 KiB2024-04-15 23:59:55
click-doc-0.5.2-r1.apk3.4 KiB2024-04-15 23:59:55
click-pyc-0.5.2-r1.apk178.1 KiB2024-04-15 23:59:55
clinfo-3.0.23.01.25-r0.apk46.5 KiB2023-02-10 10:38:45
clinfo-doc-3.0.23.01.25-r0.apk6.5 KiB2023-02-10 10:38:45
cliphist-0.5.0-r2.apk862.8 KiB2024-04-06 20:37:42
cliphist-fzf-0.5.0-r2.apk1.8 KiB2024-04-06 20:37:42
clipit-1.4.5-r2.apk70.8 KiB2023-04-16 18:48:59
clipit-doc-1.4.5-r2.apk2.4 KiB2023-04-16 18:48:59
cliquer-1.22-r2.apk8.1 KiB2023-08-01 13:01:19
cliquer-dev-1.22-r2.apk7.4 KiB2023-08-01 13:01:19
cliquer-libs-1.22-r2.apk26.1 KiB2023-08-01 13:01:19
cliquer-static-1.22-r2.apk29.8 KiB2023-08-01 13:01:19
cliquer-tests-1.22-r2.apk24.0 KiB2023-08-01 13:01:19
cln-1.3.7-r0.apk486.0 KiB2024-01-28 13:56:18
cln-dev-1.3.7-r0.apk1.1 MiB2024-01-28 13:56:18
cln-doc-1.3.7-r0.apk77.8 KiB2024-01-28 13:56:18
cloudfoundry-cli-8.7.9-r1.apk8.8 MiB2024-04-06 20:37:43
cluster-glue-1.0.12-r5.apk248.6 KiB2023-04-30 01:19:53
cluster-glue-dev-1.0.12-r5.apk957.2 KiB2023-04-30 01:19:53
cluster-glue-doc-1.0.12-r5.apk32.9 KiB2023-04-30 01:19:53
cluster-glue-libs-1.0.12-r5.apk112.1 KiB2023-04-30 01:19:53
cmusfm-0.5.0-r0.apk15.0 KiB2023-07-21 21:16:50
coccinelle-1.1.1-r2.apk6.4 MiB2024-04-18 11:56:24
coccinelle-bash-completion-1.1.1-r2.apk2.9 KiB2024-04-18 11:56:24
coccinelle-doc-1.1.1-r2.apk16.0 KiB2024-04-18 11:56:24
cocogitto-6.1.0-r0.apk2.0 MiB2024-03-15 12:15:58
cocogitto-bash-completion-6.1.0-r0.apk3.1 KiB2024-03-15 12:15:58
cocogitto-doc-6.1.0-r0.apk34.9 KiB2024-03-15 12:15:58
cocogitto-fish-completion-6.1.0-r0.apk3.4 KiB2024-03-15 12:15:58
cocogitto-zsh-completion-6.1.0-r0.apk3.1 KiB2024-03-15 12:15:58
codeberg-cli-0.4.0-r0.apk1.8 MiB2024-05-02 23:13:03
codeberg-cli-bash-completion-0.4.0-r0.apk4.9 KiB2024-05-02 23:13:03
codeberg-cli-fish-completion-0.4.0-r0.apk4.6 KiB2024-05-02 23:13:03
codeberg-cli-zsh-completion-0.4.0-r0.apk6.4 KiB2024-05-02 23:13:03
coin-4.0.0-r6.apk3.4 MiB2024-04-22 19:32:52
coin-dev-4.0.0-r6.apk353.6 KiB2024-04-22 19:32:52
colormake-0.9.20170221-r0.apk4.0 KiB2020-07-22 16:33:42
colormake-doc-0.9.20170221-r0.apk2.7 KiB2020-07-22 16:33:42
colorpicker-0_git20201128-r1.apk4.2 KiB2022-10-25 00:07:43
comics-downloader-0.33.8-r2.apk3.5 MiB2024-04-06 20:37:43
comics-downloader-gui-0.33.8-r2.apk5.3 MiB2024-04-06 20:37:44
commoncpp-7.0.1-r1.apk318.1 KiB2022-08-04 09:44:02
commoncpp-dev-7.0.1-r1.apk173.0 KiB2022-08-04 09:44:02
commoncpp-doc-7.0.1-r1.apk14.8 KiB2022-08-04 09:44:02
commoncpp-tools-7.0.1-r1.apk44.0 KiB2022-08-04 09:44:02
compiz-0.9.14.2-r4.apk6.3 MiB2024-04-22 19:32:53
compiz-dev-0.9.14.2-r4.apk121.0 KiB2024-04-22 19:32:53
compiz-lang-0.9.14.2-r4.apk1.2 MiB2024-04-22 19:32:53
compiz-pyc-0.9.14.2-r4.apk112.0 KiB2024-04-22 19:32:53
compiz-utils-0.9.14.2-r4.apk3.3 KiB2024-04-22 19:32:53
compton-conf-0.16.0-r1.apk58.9 KiB2022-07-26 06:19:17
conduit-0.7.0-r0.apk5.9 MiB2024-04-25 08:52:52
conduit-openrc-0.7.0-r0.apk1.9 KiB2024-04-25 08:52:52
conntracct-0.2.7-r23.apk4.8 MiB2024-04-06 20:37:44
conntracct-openrc-0.2.7-r23.apk2.0 KiB2024-04-06 20:37:44
console_bridge-1.0.2-r0.apk9.8 KiB2022-11-08 22:02:29
console_bridge-dev-1.0.2-r0.apk4.7 KiB2022-11-08 22:02:30
consul-replicate-0.4.0-r23.apk2.6 MiB2024-04-06 20:37:44
convert2json-0.8.2-r0.apk2.0 MiB2024-04-15 23:59:55
copyq-8.0.0-r0.apk2.6 MiB2024-03-22 07:46:46
copyq-bash-completion-8.0.0-r0.apk2.3 KiB2024-03-22 07:46:46
copyq-doc-8.0.0-r0.apk3.5 KiB2024-03-22 07:46:46
coreboot-tools-24.02-r0.apk1.4 KiB2024-02-28 12:51:51
coreboot-tools-configurator-24.02-r0.apk150.6 KiB2024-02-28 12:51:51
coreboot-tools-ectool-24.02-r0.apk5.9 KiB2024-02-28 12:51:51
coreboot-tools-ifdtool-24.02-r0.apk21.2 KiB2024-02-28 12:51:51
coreboot-tools-nvramtool-24.02-r0.apk22.8 KiB2024-02-28 12:51:51
coreboot-tools-nvramtool-doc-24.02-r0.apk4.3 KiB2024-02-28 12:51:51
coventry-0.8.1-r0.apk337.0 KiB2024-02-24 15:21:52
coventry-doc-0.8.1-r0.apk35.8 KiB2024-02-24 15:21:52
coventry-openrc-0.8.1-r0.apk1.8 KiB2024-02-24 15:21:52
cowsay-3.04-r2.apk18.4 KiB2022-10-25 00:07:43
cowsay-doc-3.04-r2.apk4.0 KiB2022-10-25 00:07:43
coxeter-3.0-r1.apk48.5 KiB2023-08-01 13:01:19
coxeter-dev-3.0-r1.apk56.7 KiB2023-08-01 13:01:19
coxeter-libs-3.0-r1.apk338.1 KiB2023-08-01 13:01:19
cozy-1.2.1-r1.apk139.9 KiB2024-04-17 03:15:12
cozy-lang-1.2.1-r1.apk111.9 KiB2024-04-17 03:15:12
cozy-pyc-1.2.1-r1.apk222.8 KiB2024-04-17 03:15:12
cpiped-0.1.0-r0.apk6.8 KiB2020-07-22 16:33:43
cpplint-1.6.1_git20240320-r1.apk76.4 KiB2024-04-15 23:59:55
cpplint-pyc-1.6.1_git20240320-r1.apk94.5 KiB2024-04-15 23:59:55
cpu-x-5.0.4-r0.apk1.7 MiB2024-05-04 14:39:36
cpu-x-bash-completion-5.0.4-r0.apk2.0 KiB2024-05-04 14:39:36
cpu-x-fish-completion-5.0.4-r0.apk2.2 KiB2024-05-04 14:39:36
cpu-x-lang-5.0.4-r0.apk217.4 KiB2024-05-04 14:39:36
cpu-x-zsh-completion-5.0.4-r0.apk2.1 KiB2024-05-04 14:39:36
cpuburn-1.4a_git20160316-r2.apk4.1 KiB2022-10-26 18:28:37
crazydiskinfo-1.1.0-r1.apk36.0 KiB2022-10-25 00:07:43
create-tauri-app-3.13.17-r0.apk557.7 KiB2024-03-27 01:12:10
create-tauri-app-doc-3.13.17-r0.apk6.2 KiB2024-03-27 01:12:10
createrepo_c-1.0.2-r1.apk49.5 KiB2024-04-15 23:59:55
createrepo_c-bash-completion-1.0.2-r1.apk2.9 KiB2024-04-15 23:59:55
createrepo_c-dev-1.0.2-r1.apk31.3 KiB2024-04-15 23:59:55
createrepo_c-doc-1.0.2-r1.apk8.6 KiB2024-04-15 23:59:55
createrepo_c-libs-1.0.2-r1.apk88.7 KiB2024-04-15 23:59:55
cri-o-1.29.1-r2.apk15.4 MiB2024-04-06 20:37:46
cri-o-bash-completion-1.29.1-r2.apk2.7 KiB2024-04-06 20:37:46
cri-o-doc-1.29.1-r2.apk21.2 KiB2024-04-06 20:37:46
cri-o-fish-completion-1.29.1-r2.apk8.2 KiB2024-04-06 20:37:46
cri-o-openrc-1.29.1-r2.apk2.0 KiB2024-04-06 20:37:46
cri-o-zsh-completion-1.29.1-r2.apk2.9 KiB2024-04-06 20:37:46
crispy-doom-6.0-r0.apk1.9 MiB2023-03-31 22:55:45
crispy-doom-doc-6.0-r0.apk85.3 KiB2023-03-31 22:55:45
crossplane-0.5.8-r2.apk25.4 KiB2024-04-15 23:59:55
crossplane-pyc-0.5.8-r2.apk39.4 KiB2024-04-15 23:59:55
crosstool-ng-1.25.0-r0.apk1.9 MiB2022-12-30 12:27:23
crosstool-ng-bash-completion-1.25.0-r0.apk2.2 KiB2022-12-30 12:27:23
crosstool-ng-doc-1.25.0-r0.apk34.4 KiB2022-12-30 12:27:23
crowdsec-1.6.1-r0.apk38.1 MiB2024-04-17 03:15:14
crowdsec-email-plugin-1.6.1-r0.apk4.3 MiB2024-04-17 03:15:15
crowdsec-http-plugin-1.6.1-r0.apk4.4 MiB2024-04-17 03:15:15
crowdsec-openrc-1.6.1-r0.apk1.8 KiB2024-04-17 03:15:15
crowdsec-slack-plugin-1.6.1-r0.apk4.5 MiB2024-04-17 03:15:15
crowdsec-splunk-plugin-1.6.1-r0.apk4.4 MiB2024-04-17 03:15:16
cscope-15.9-r1.apk155.1 KiB2022-10-14 15:05:58
cscope-doc-15.9-r1.apk7.5 KiB2022-10-14 15:05:58
csfml-2.5.2-r0.apk104.3 KiB2023-07-01 09:52:15
csfml-dev-2.5.2-r0.apk77.2 KiB2023-07-01 09:52:15
csfml-doc-2.5.2-r0.apk204.0 KiB2023-07-01 09:52:15
csmith-2.3.0-r1.apk330.6 KiB2022-10-25 00:07:43
csmith-doc-2.3.0-r1.apk3.1 KiB2022-10-25 00:07:43
csol-1.6.0-r0.apk37.2 KiB2023-03-10 21:05:04
csol-doc-1.6.0-r0.apk3.8 KiB2023-03-10 21:05:04
ctorrent-dnh-3.3.2-r2.apk98.9 KiB2022-10-25 00:07:43
cups-pdf-3.0.1-r2.apk21.3 KiB2024-03-26 12:25:30
curlftpfs-0.9.2-r3.apk26.6 KiB2022-10-25 00:07:43
curlftpfs-doc-0.9.2-r3.apk6.1 KiB2022-10-25 00:07:43
curlpp-0.8.1-r1.apk31.6 KiB2022-10-25 00:07:43
curlpp-dev-0.8.1-r1.apk65.7 KiB2022-10-25 00:07:43
curtail-1.9.1-r0.apk25.4 KiB2024-04-15 23:59:55
curtail-lang-1.9.1-r0.apk62.0 KiB2024-04-15 23:59:55
cutechess-1.3.1-r0.apk1.1 MiB2023-09-24 18:37:17
cutechess-cli-1.3.1-r0.apk348.6 KiB2023-09-24 18:37:17
cutechess-cli-doc-1.3.1-r0.apk6.6 KiB2023-09-24 18:37:17
cutechess-doc-1.3.1-r0.apk3.6 KiB2023-09-24 18:37:17
cvise-2.8.0-r2.apk6.5 MiB2023-07-30 16:34:11
cvise-pyc-2.8.0-r2.apk63.4 KiB2023-07-30 16:34:11
cvs-fast-export-1.65-r0.apk46.7 KiB2024-02-16 19:38:50
cvs-fast-export-doc-1.65-r0.apk17.4 KiB2024-02-16 19:38:50
cvs-fast-export-tools-1.65-r0.apk8.7 KiB2024-02-16 19:38:50
cyrus-sasl-xoauth2-0.2-r1.apk6.8 KiB2023-05-16 12:36:59
cyrus-sasl-xoauth2-doc-0.2-r1.apk2.3 KiB2023-05-16 12:36:59
cyrus-sasl-xoauth2-static-0.2-r1.apk7.1 KiB2023-05-16 12:36:59
cz-viator-hourglass-black-20210706-r0.apk219.1 KiB2022-02-07 12:36:00
daemontools-0.76-r2.apk70.3 KiB2022-10-25 00:07:43
daktilo-0.6.0-r0.apk1.8 MiB2024-04-12 00:01:46
daktilo-bash-completion-0.6.0-r0.apk2.2 KiB2024-04-12 00:01:46
daktilo-doc-0.6.0-r0.apk8.7 KiB2024-04-12 00:01:46
daktilo-fish-completion-0.6.0-r0.apk1.9 KiB2024-04-12 00:01:46
daktilo-zsh-completion-0.6.0-r0.apk2.3 KiB2024-04-12 00:01:46
darts-clone-0_git20181117-r0.apk40.5 KiB2022-01-27 01:59:08
darts-clone-dev-0_git20181117-r0.apk12.8 KiB2022-01-27 01:59:08
dasht-2.4.0-r0.apk14.2 KiB2023-12-24 03:12:41
dasht-doc-2.4.0-r0.apk11.4 KiB2023-12-24 03:12:41
dasht-zsh-completion-2.4.0-r0.apk2.1 KiB2023-12-24 03:12:41
datamash-1.8-r1.apk89.7 KiB2024-04-17 18:06:53
datamash-bash-completion-1.8-r1.apk3.1 KiB2024-04-17 18:06:53
datamash-doc-1.8-r1.apk32.5 KiB2024-04-17 18:06:53
dbus-broker-35-r0.apk81.9 KiB2024-01-04 19:32:04
dbus-broker-doc-35-r0.apk5.9 KiB2024-01-04 19:32:04
dbus-waiter-0.2.0-r0.apk679.6 KiB2023-10-29 12:15:33
dcmtk-3.6.8-r0.apk1.3 MiB2024-01-13 23:02:47
dcmtk-dev-3.6.8-r0.apk1.6 MiB2024-01-13 23:02:48
dcmtk-doc-3.6.8-r0.apk265.8 KiB2024-01-13 23:02:48
dcmtk-openrc-3.6.8-r0.apk1.8 KiB2024-01-13 23:02:48
dcnnt-0.10.0-r1.apk27.8 KiB2024-04-15 23:59:55
dcnnt-doc-0.10.0-r1.apk6.6 KiB2024-04-15 23:59:55
dcnnt-pyc-0.10.0-r1.apk61.7 KiB2024-04-15 23:59:55
ddcci-driver-linux-src-0.4.4-r2.apk19.2 KiB2024-03-13 21:54:55
ddgr-2.2-r0.apk20.1 KiB2024-01-14 21:11:59
ddgr-bash-completion-2.2-r0.apk2.2 KiB2024-01-14 21:11:59
ddgr-doc-2.2-r0.apk11.5 KiB2024-01-14 21:11:59
ddgr-fish-completion-2.2-r0.apk2.3 KiB2024-01-14 21:11:59
ddgr-zsh-completion-2.2-r0.apk2.7 KiB2024-01-14 21:11:59
ddnrs-0.2.0-r0.apk962.1 KiB2024-01-19 03:14:35
ddnrs-openrc-0.2.0-r0.apk2.0 KiB2024-01-19 03:14:35
ddserver-0_git20200930-r1.apk13.3 KiB2022-10-25 00:07:43
deadbeef-soxr-20180801-r0.apk6.2 KiB2020-11-25 08:16:00
debconf-1.5.82-r0.apk69.4 KiB2023-03-16 14:38:46
debconf-bash-completion-1.5.82-r0.apk1.9 KiB2023-03-16 14:38:46
debconf-doc-1.5.82-r0.apk26.8 KiB2023-03-16 14:38:46
debconf-lang-1.5.82-r0.apk132.4 KiB2023-03-16 14:38:46
debconf-utils-1.5.82-r0.apk6.7 KiB2023-03-16 14:38:46
dehydrated-0.7.1-r0.apk26.4 KiB2023-01-20 22:15:54
desed-1.2.1-r1.apk403.2 KiB2023-05-24 09:34:18
desed-doc-1.2.1-r1.apk2.9 KiB2023-05-24 09:34:18
desync-0.9.5-r5.apk7.4 MiB2024-04-06 20:37:51
detox-2.0.0-r0.apk108.4 KiB2024-04-01 19:46:10
detox-doc-2.0.0-r0.apk20.7 KiB2024-04-01 19:46:10
deutex-5.2.2-r1.apk76.5 KiB2022-04-10 21:06:44
devil-1.8.0-r0.apk269.2 KiB2023-09-12 10:20:46
devil-dev-1.8.0-r0.apk12.9 KiB2023-09-12 10:20:46
dewduct-0.2.1-r0.apk1.1 MiB2024-04-30 06:32:31
dex-0.9.0-r1.apk7.9 KiB2023-10-19 16:23:05
dex-doc-0.9.0-r1.apk5.8 KiB2023-10-19 16:23:05
dfl-applications-0.2.0-r0.apk35.6 KiB2023-12-30 13:29:25
dfl-applications-dev-0.2.0-r0.apk4.1 KiB2023-12-30 13:29:25
dfl-ipc-0.2.0-r0.apk21.5 KiB2023-12-30 13:29:25
dfl-ipc-dev-0.2.0-r0.apk3.6 KiB2023-12-30 13:29:25
dfl-login1-0.2.0-r0.apk18.5 KiB2023-12-30 13:29:25
dfl-login1-dev-0.2.0-r0.apk3.5 KiB2023-12-30 13:29:25
dfl-sni-0.2.0-r0.apk34.2 KiB2023-12-30 13:29:25
dfl-sni-dev-0.2.0-r0.apk4.1 KiB2023-12-30 13:29:25
dfu-programmer-1.1.0-r0.apk32.6 KiB2023-07-10 20:18:31
dfu-programmer-bash-completion-1.1.0-r0.apk2.8 KiB2023-07-10 20:18:31
dfu-programmer-doc-1.1.0-r0.apk5.8 KiB2023-07-10 20:18:31
dhewm3-1.5.2-r0.apk4.7 MiB2022-08-24 07:59:25
diceware-0.10-r1.apk217.6 KiB2024-04-15 23:59:55
diceware-pyc-0.10-r1.apk17.1 KiB2024-04-15 23:59:55
ding-libs-0.6.2-r4.apk74.5 KiB2023-11-09 22:14:19
ding-libs-dev-0.6.2-r4.apk68.0 KiB2023-11-09 22:14:19
dinit-0.18.0-r1.apk162.1 KiB2024-01-28 11:40:21
dinit-alpine-0_git20240128-r0.apk8.4 KiB2024-01-28 12:44:24
dinit-doc-0.18.0-r1.apk29.0 KiB2024-01-28 11:40:21
dinit-shutdown-0.18.0-r1.apk18.2 KiB2024-01-28 11:40:21
disfetch-3.7-r0.apk8.3 KiB2023-06-08 21:52:28
diskonaut-0.11.0-r3.apk454.0 KiB2023-05-24 09:34:18
diskus-0.7.0-r1.apk316.7 KiB2023-05-24 09:34:18
dislocker-0.7.3-r5.apk12.9 KiB2024-04-14 14:22:37
dislocker-doc-0.7.3-r5.apk6.1 KiB2024-04-14 14:22:37
dislocker-libs-0.7.3-r5.apk47.5 KiB2024-04-14 14:22:37
dissent-0.0.22-r1.apk14.9 MiB2024-04-06 20:37:52
distrobuilder-2.1-r16.apk5.8 MiB2024-04-06 20:37:52
distrobuilder-doc-2.1-r16.apk15.7 KiB2024-04-06 20:37:52
distrobuilder-lxc-2.1-r16.apk1.5 KiB2024-04-06 20:37:52
distrobuilder-lxd-2.1-r16.apk1.5 KiB2024-04-06 20:37:52
dive-0.11.0-r5.apk4.0 MiB2024-04-06 20:37:52
dlib-19.24.4-r0.apk829.5 KiB2024-04-02 08:24:15
dlib-dev-19.24.4-r0.apk2.4 MiB2024-04-02 08:24:15
dmarc-metrics-exporter-1.0.0-r2.apk26.0 KiB2024-04-15 23:59:55
dmarc-metrics-exporter-openrc-1.0.0-r2.apk2.0 KiB2024-04-15 23:59:55
dmarc-metrics-exporter-pyc-1.0.0-r2.apk46.7 KiB2024-04-15 23:59:55
dnscontrol-4.10.0-r0.apk12.8 MiB2024-05-07 06:26:35
dnscontrol-doc-4.10.0-r0.apk2.3 KiB2024-05-07 06:26:35
dnscrypt-wrapper-0.4.2-r3.apk29.8 KiB2023-12-19 15:38:29
dnsenum-1.3.2-r0.apk21.2 KiB2024-04-24 01:33:11
dnsenum-doc-1.3.2-r0.apk5.2 KiB2024-04-24 01:33:11
dnsfunnel-0.0.1.6-r0.apk12.6 KiB2023-11-06 21:56:47
dnsfunnel-doc-0.0.1.6-r0.apk7.1 KiB2023-11-06 21:56:47
dnsfunnel-openrc-0.0.1.6-r0.apk1.8 KiB2023-11-06 21:56:47
dnsperf-2.14.0-r0.apk76.9 KiB2024-01-19 09:40:22
dnsperf-doc-2.14.0-r0.apk34.9 KiB2024-01-19 09:40:22
dnssec-tools-2.2.3-r10.apk766.2 KiB2023-07-08 01:17:57
dnssec-tools-dev-2.2.3-r10.apk185.8 KiB2023-07-08 01:17:57
dnssec-tools-doc-2.2.3-r10.apk315.9 KiB2023-07-08 01:17:57
dnstap-ldns-0.2.1-r0.apk11.6 KiB2024-03-11 01:49:27
doasedit-1.0.7-r0.apk3.6 KiB2024-02-13 17:43:56
docbook2mdoc-1.1.0-r1.apk22.7 KiB2022-10-25 00:07:44
docbook2mdoc-doc-1.1.0-r1.apk4.2 KiB2022-10-25 00:07:44
docker-auth-1.11.0-r7.apk9.6 MiB2024-04-06 20:37:54
docker-auth-openrc-1.11.0-r7.apk2.1 KiB2024-04-06 20:37:54
docker-volume-local-persist-1.3.0-r25.apk2.3 MiB2024-04-06 20:37:54
docker-volume-local-persist-openrc-1.3.0-r25.apk1.8 KiB2024-04-06 20:37:54
dockerize-0.7.0-r5.apk3.0 MiB2024-04-06 20:37:54
dooit-2.2.0-r1.apk42.0 KiB2024-04-15 23:59:55
dooit-pyc-2.2.0-r1.apk95.8 KiB2024-04-15 23:59:55
dotenv-linter-3.3.0-r1.apk961.4 KiB2023-05-24 09:34:18
dovi-tool-2.0.3-r0.apk973.1 KiB2023-06-12 15:20:52
downloader-cli-0.3.4-r1.apk2.0 KiB2024-04-15 23:59:55
draw-0.1.1-r5.apk955.3 KiB2024-04-06 20:37:55
drawing-1.0.2-r0.apk3.2 MiB2023-03-06 13:04:09
drawing-lang-1.0.2-r0.apk272.0 KiB2023-03-06 13:04:09
drogon-1.9.4-r0.apk1.6 MiB2024-05-05 21:26:16
drogon-dev-1.9.4-r0.apk124.6 KiB2024-05-05 21:26:16
drogon-doc-1.9.4-r0.apk2.3 KiB2024-05-05 21:26:16
droidcam-2.1.3-r0.apk18.4 KiB2024-04-05 23:23:23
droidcam-gui-2.1.3-r0.apk28.0 KiB2024-04-05 23:23:23
drone-cli-1.8.0-r2.apk5.4 MiB2024-04-06 20:37:55
dropwatch-1.5.4-r4.apk16.3 KiB2024-03-01 15:19:46
dropwatch-doc-1.5.4-r4.apk3.7 KiB2024-03-01 15:19:46
drumgizmo-0.9.20-r1.apk412.9 KiB2023-06-22 06:48:19
dsnet-0.7.3-r2.apk3.7 MiB2024-04-06 20:37:55
dsnet-doc-0.7.3-r2.apk9.3 KiB2024-04-06 20:37:55
dsp-1.9-r1.apk106.9 KiB2023-02-28 02:32:56
dsp-doc-1.9-r1.apk7.2 KiB2023-02-28 02:32:56
dstask-0.26-r6.apk1.3 MiB2024-04-06 20:37:55
dstask-bash-completion-0.26-r6.apk2.1 KiB2024-04-06 20:37:55
dstask-import-0.26-r6.apk3.2 MiB2024-04-06 20:37:56
dstask-zsh-completion-0.26-r6.apk1.7 KiB2024-04-06 20:37:56
dublin-traceroute-0.4.2-r3.apk50.9 KiB2023-09-16 21:20:38
dublin-traceroute-contrib-0.4.2-r3.apk2.9 KiB2023-09-16 21:20:38
dublin-traceroute-dev-0.4.2-r3.apk6.9 KiB2023-09-16 21:20:38
dublin-traceroute-doc-0.4.2-r3.apk2.3 KiB2023-09-16 21:20:38
duc-1.4.5-r0.apk87.3 KiB2023-06-27 16:29:17
duc-doc-1.4.5-r0.apk9.1 KiB2023-06-27 16:29:17
duf-0.8.1-r18.apk1.0 MiB2024-04-06 20:37:56
dum-0.1.19-r1.apk234.4 KiB2023-05-24 09:34:18
dumb_runtime_dir-1.0.4-r2.apk3.7 KiB2023-10-05 08:30:03
dune-deps-1.3.0-r2.apk746.8 KiB2024-03-23 20:58:09
dustracing2d-2.1.1-r1.apk5.2 MiB2022-10-25 00:07:44
dvdbackup-0.4.2-r1.apk17.0 KiB2022-10-14 15:05:58
dvdbackup-doc-0.4.2-r1.apk7.6 KiB2022-10-14 15:05:58
dvdbackup-lang-0.4.2-r1.apk1.4 KiB2022-10-14 15:05:58
dwl-0.5-r1.apk26.2 KiB2024-03-13 21:54:55
dwl-doc-0.5-r1.apk3.1 KiB2024-03-13 21:54:55
eatmemory-0.1.6-r2.apk4.3 KiB2022-10-25 00:07:44
eboard-1.1.3-r1.apk1.5 MiB2023-07-30 16:34:11
eboard-doc-1.1.3-r1.apk4.7 KiB2023-07-30 16:34:11
ecasound-2.9.3-r3.apk733.1 KiB2023-09-25 19:30:36
ecasound-dev-2.9.3-r3.apk1.1 MiB2023-09-25 19:30:36
ecasound-doc-2.9.3-r3.apk38.6 KiB2023-09-25 19:30:36
ecm-7.0.5-r1.apk134.9 KiB2023-05-16 12:36:59
ecm-dev-7.0.5-r1.apk4.9 KiB2023-05-16 12:36:59
ecm-doc-7.0.5-r1.apk7.2 KiB2023-05-16 12:36:59
ecos-2.0.10-r0.apk41.4 KiB2022-05-23 22:27:10
ecos-dev-2.0.10-r0.apk27.9 KiB2022-05-23 22:27:10
edward-1.0.1-r1.apk1.7 MiB2023-12-18 15:27:45
edward-doc-1.0.1-r1.apk5.1 KiB2023-12-18 15:27:45
efl-1.27.0-r1.apk33.8 MiB2024-04-12 00:01:47
efl-dev-1.27.0-r1.apk1.9 MiB2024-04-12 00:01:47
efl-gdb-1.27.0-r1.apk1.8 KiB2024-04-12 00:01:47
eiwd-2.16-r0.apk868.4 KiB2024-03-20 20:07:10
eiwd-doc-2.16-r0.apk19.8 KiB2024-03-20 20:07:10
eiwd-openrc-2.16-r0.apk1.9 KiB2024-03-20 20:07:10
elementary-photos-2.8.0-r1.apk1.2 MiB2023-04-30 01:19:53
elementary-photos-lang-2.8.0-r1.apk1.3 MiB2023-04-30 01:19:53
elementary-photos-publishing-2.8.0-r1.apk233.4 KiB2023-04-30 01:19:53
elf_diff-0.7.1-r1.apk94.2 KiB2024-04-15 23:59:55
elf_diff-pyc-0.7.1-r1.apk108.0 KiB2024-04-15 23:59:55
elfio-3.12-r0.apk1.4 KiB2023-08-30 04:54:40
elfio-dev-3.12-r0.apk55.0 KiB2023-08-30 04:54:40
eludris-0.3.3-r1.apk1.9 MiB2023-06-01 20:39:07
eludris-doc-0.3.3-r1.apk2.3 KiB2023-06-01 20:39:07
emacs-ace-window-0.10.0_git20220911-r0.apk22.8 KiB2024-04-02 07:42:33
emacs-avy-0.5.0_git20230420-r0.apk43.4 KiB2024-04-02 07:42:33
emacs-avy-embark-collect-1.0_git20240327-r0.apk3.9 KiB2024-04-09 15:00:38
emacs-centaur-tabs-3.2_git20230601-r0.apk55.1 KiB2024-04-02 07:42:33
emacs-consult-1.4_git20240405-r0.apk137.8 KiB2024-04-09 15:00:38
emacs-derl-0_git20231004-r0.apk23.5 KiB2024-05-05 06:27:23
emacs-elfeed-3.4.1_git20240326-r0.apk91.3 KiB2024-04-02 07:42:33
emacs-embark-1.0_git20240327-r0.apk109.7 KiB2024-04-09 15:00:38
emacs-embark-consult-1.0_git20240327-r0.apk10.5 KiB2024-04-09 15:00:38
emacs-ement-0.14_git20240320-r0.apk284.5 KiB2024-04-02 07:42:33
emacs-fossil-0_git20230504-r0.apk14.6 KiB2024-05-05 06:27:23
emacs-hackernews-0.7.0-r0.apk15.5 KiB2024-04-02 07:42:33
emacs-helm-3.9.7_git20240329-r0.apk815.2 KiB2024-04-02 07:42:34
emacs-hnreader-0_git20221116-r0.apk9.8 KiB2024-04-02 07:42:34
emacs-hydra-0.15.0_git20220910-r0.apk46.0 KiB2024-04-02 07:42:34
emacs-persist-0.6_git20240114-r0.apk6.6 KiB2024-04-02 07:42:34
emacs-powerline-2.4_git20221110-r0.apk28.9 KiB2024-04-02 07:42:34
emacs-svg-lib-0_git20240219-r0.apk19.0 KiB2024-04-02 07:42:34
emacs-taxy-0.10.1-r0.apk11.5 KiB2024-04-02 07:42:34
emacs-taxy-magit-section-0.13-r0.apk17.3 KiB2024-04-02 07:42:34
empede-0.2.3-r0.apk1.6 MiB2024-01-07 01:53:47
empede-doc-0.2.3-r0.apk2.3 KiB2024-01-07 01:53:47
empede-openrc-0.2.3-r0.apk1.9 KiB2024-01-07 01:53:47
emulationstation-2.11.2-r0.apk836.1 KiB2023-04-15 10:18:19
emulationstation-theme-gbz35-2.11.2-r0.apk3.3 MiB2023-04-15 10:18:19
endeavour-43.0-r1.apk195.7 KiB2024-03-23 19:44:10
endeavour-dev-43.0-r1.apk46.7 KiB2024-03-23 19:44:10
endeavour-doc-43.0-r1.apk75.3 KiB2024-03-23 19:44:10
endeavour-lang-43.0-r1.apk204.7 KiB2024-03-23 19:44:10
endless-sky-0.9.16.1-r0.apk175.2 MiB2022-10-21 10:42:17
endless-sky-doc-0.9.16.1-r0.apk24.3 KiB2022-10-21 10:42:17
endlessh-1.1-r0.apk9.6 KiB2022-02-20 18:53:46
endlessh-doc-1.1-r0.apk2.4 KiB2022-02-20 18:53:46
enjoy-0.3-r1.apk11.0 KiB2023-02-03 14:50:49
enlighten-0.9.2-r1.apk7.3 KiB2022-10-25 00:07:44
enlighten-doc-0.9.2-r1.apk3.5 KiB2022-10-25 00:07:44
envconsul-0.13.2-r5.apk4.6 MiB2024-04-06 20:37:56
envsubst-0.1-r1.apk4.9 KiB2022-06-28 05:34:10
epoch-1.3.0-r1.apk60.0 KiB2022-10-25 00:07:44
epr-2.4.15-r1.apk15.7 KiB2024-04-15 23:59:55
epr-pyc-2.4.15-r1.apk24.4 KiB2024-04-15 23:59:55
ergo-ldap-0.0.1-r9.apk2.0 MiB2024-04-06 20:37:56
ergo-ldap-doc-0.0.1-r9.apk2.3 KiB2024-04-06 20:37:56
espeakup-0.90-r2.apk11.4 KiB2024-03-13 21:54:55
espeakup-openrc-0.90-r2.apk1.8 KiB2024-03-13 21:54:55
esptool-4.7.0-r1.apk296.6 KiB2024-04-15 23:59:55
esptool-pyc-4.7.0-r1.apk446.3 KiB2024-04-15 23:59:55
etcd-3.5.13-r1.apk8.5 MiB2024-04-06 20:37:57
etcd-ctl-3.5.13-r1.apk6.5 MiB2024-04-06 20:37:58
etcd-doc-3.5.13-r1.apk5.5 KiB2024-04-06 20:37:58
etcd-openrc-3.5.13-r1.apk2.1 KiB2024-04-06 20:37:58
ettercap-0.8.3.1-r2.apk570.0 KiB2022-10-18 03:58:44
ettercap-doc-0.8.3.1-r2.apk45.2 KiB2022-10-18 03:58:44
eva-0.3.1-r2.apk629.5 KiB2023-05-24 09:34:18
exabgp-4.2.21-r4.apk435.9 KiB2024-04-15 23:59:55
exabgp-doc-4.2.21-r4.apk8.1 KiB2024-04-15 23:59:55
exabgp-openrc-4.2.21-r4.apk2.3 KiB2024-04-15 23:59:55
exabgp-pyc-4.2.21-r4.apk897.5 KiB2024-04-15 23:59:55
exercism-3.2.0-r4.apk3.8 MiB2024-04-06 20:37:58
exercism-bash-completion-3.2.0-r4.apk2.0 KiB2024-04-06 20:37:58
exercism-fish-completion-3.2.0-r4.apk2.4 KiB2024-04-06 20:37:58
exercism-zsh-completion-3.2.0-r4.apk2.1 KiB2024-04-06 20:37:58
extrace-0.9-r0.apk9.5 KiB2024-04-18 19:40:04
extrace-doc-0.9-r0.apk3.5 KiB2024-04-18 19:40:04
extremetuxracer-0.8.3-r0.apk39.5 MiB2023-07-25 13:05:05
extremetuxracer-doc-0.8.3-r0.apk6.7 KiB2023-07-25 13:05:05
extundelete-0.2.4-r1.apk44.1 KiB2022-10-14 15:05:59
f_scripts-0.5-r2.apk1.5 KiB2023-09-11 20:17:36
f_scripts-f_audio-0.5-r2.apk2.5 KiB2023-09-11 20:17:36
f_scripts-f_files-0.5-r2.apk2.9 KiB2023-09-11 20:17:36
f_scripts-f_game-0.5-r2.apk1.9 KiB2023-09-11 20:17:36
f_scripts-f_maps-0.5-r2.apk2.1 KiB2023-09-11 20:17:36
f_scripts-f_networks-0.5-r2.apk2.9 KiB2023-09-11 20:17:36
f_scripts-f_phone-0.5-r2.apk5.9 KiB2023-09-11 20:17:36
f_scripts-f_rss-0.5-r2.apk2.5 KiB2023-09-11 20:17:36
f_scripts-f_theme-0.5-r2.apk2.5 KiB2023-09-11 20:17:36
f_scripts-f_timer-0.5-r2.apk2.4 KiB2023-09-11 20:17:36
f_scripts-f_web-0.5-r2.apk2.9 KiB2023-09-11 20:17:36
f_scripts-f_youtube-0.5-r2.apk2.8 KiB2023-09-11 20:17:36
fabric-3.2.2-r1.apk54.6 KiB2024-04-15 23:59:55
fabric-pyc-3.2.2-r1.apk60.1 KiB2024-04-15 23:59:55
fakeroot-tcp-1.32.1-r1.apk29.5 KiB2023-08-05 17:12:58
fast_float-5.2.0-r1.apk42.8 KiB2023-08-01 13:01:19
fastd-22-r3.apk75.4 KiB2023-10-22 05:31:33
fastd-doc-22-r3.apk3.3 KiB2023-10-22 05:31:33
fastd-openrc-22-r3.apk1.8 KiB2023-10-22 05:31:33
fatback-1.3-r2.apk30.2 KiB2022-10-25 00:07:45
fatback-doc-1.3-r2.apk16.1 KiB2022-10-25 00:07:45
fathom-1.3.1-r5.apk4.5 MiB2024-04-06 20:37:59
fatrace-0.17.0-r0.apk10.2 KiB2022-11-14 21:29:08
fatrace-doc-0.17.0-r0.apk3.3 KiB2022-11-14 21:29:08
fatresize-1.1.0-r1.apk9.5 KiB2022-10-25 00:07:45
fatresize-doc-1.1.0-r1.apk15.2 KiB2022-10-25 00:07:45
faultstat-0.01.11-r0.apk13.8 KiB2024-01-13 12:46:49
faultstat-bash-completion-0.01.11-r0.apk2.3 KiB2024-01-13 12:46:49
faultstat-doc-0.01.11-r0.apk3.0 KiB2024-01-13 12:46:49
faust-2.60.3-r2.apk8.6 MiB2023-06-29 04:33:19
faust-dev-2.60.3-r2.apk770.5 KiB2023-06-29 04:33:19
faust-doc-2.60.3-r2.apk16.7 MiB2023-06-29 04:33:20
faust-static-2.60.3-r2.apk527.6 KiB2023-06-29 04:33:20
faust-tools-2.60.3-r2.apk118.9 KiB2023-06-29 04:33:20
faust-vim-2.60.3-r2.apk2.6 KiB2023-06-29 04:33:20
fava-1.26.4-r0.apk1.8 MiB2024-01-19 22:43:14
fava-pyc-1.26.4-r0.apk158.1 KiB2024-01-19 22:43:14
fceux-2.6.6-r1.apk3.0 MiB2023-12-13 20:26:01
fceux-doc-2.6.6-r1.apk105.8 KiB2023-12-13 20:26:01
fcitx5-5.1.9-r0.apk8.9 MiB2024-04-25 19:25:23
fcitx5-anthy-5.1.3-r0.apk145.7 KiB2024-01-30 22:33:30
fcitx5-anthy-lang-5.1.3-r0.apk22.3 KiB2024-01-30 22:33:30
fcitx5-bamboo-1.0.4-r9.apk725.4 KiB2024-04-06 20:37:59
fcitx5-bamboo-lang-1.0.4-r9.apk5.8 KiB2024-04-06 20:37:59
fcitx5-chewing-5.1.1-r0.apk30.5 KiB2024-01-30 22:33:30
fcitx5-chewing-lang-5.1.1-r0.apk8.9 KiB2024-01-30 22:33:30
fcitx5-chinese-addons-5.1.4-r1.apk1.5 MiB2024-04-22 19:32:53
fcitx5-chinese-addons-dev-5.1.4-r1.apk5.4 KiB2024-04-22 19:32:53
fcitx5-chinese-addons-lang-5.1.4-r1.apk44.1 KiB2024-04-22 19:32:53
fcitx5-configtool-5.1.4-r0.apk594.7 KiB2024-04-08 20:23:01
fcitx5-configtool-lang-5.1.4-r0.apk45.9 KiB2024-04-08 20:23:01
fcitx5-dev-5.1.9-r0.apk134.7 KiB2024-04-25 19:25:23
fcitx5-gtk-5.1.2-r0.apk17.7 KiB2024-04-08 20:23:01
fcitx5-gtk-dev-5.1.2-r0.apk10.2 KiB2024-04-08 20:23:01
fcitx5-gtk3-5.1.2-r0.apk35.9 KiB2024-04-08 20:23:01
fcitx5-gtk4-5.1.2-r0.apk34.9 KiB2024-04-08 20:23:01
fcitx5-hangul-5.1.2-r0.apk50.2 KiB2024-04-08 20:23:01
fcitx5-hangul-lang-5.1.2-r0.apk7.0 KiB2024-04-08 20:23:01
fcitx5-kkc-5.1.2-r0.apk87.7 KiB2024-04-08 20:23:01
fcitx5-kkc-lang-5.1.2-r0.apk11.0 KiB2024-04-08 20:23:01
fcitx5-lang-5.1.9-r0.apk153.5 KiB2024-04-25 19:25:23
fcitx5-lua-5.0.12-r0.apk39.0 KiB2024-01-30 22:33:30
fcitx5-lua-dev-5.0.12-r0.apk3.2 KiB2024-01-30 22:33:30
fcitx5-lua-lang-5.0.12-r0.apk3.8 KiB2024-01-30 22:33:30
fcitx5-m17n-5.1.0-r1.apk67.0 KiB2024-01-30 22:33:30
fcitx5-m17n-lang-5.1.0-r1.apk6.2 KiB2024-01-30 22:33:30
fcitx5-qt-5.1.5-r0.apk63.6 KiB2024-04-08 20:23:01
fcitx5-qt-dev-5.1.5-r0.apk22.8 KiB2024-04-08 20:23:01
fcitx5-qt-lang-5.1.5-r0.apk13.5 KiB2024-04-08 20:23:01
fcitx5-qt-qt5-5.1.5-r0.apk200.1 KiB2024-04-08 20:23:01
fcitx5-qt-qt6-5.1.5-r0.apk252.3 KiB2024-04-08 20:23:01
fcitx5-rime-5.1.5-r0.apk77.8 KiB2024-04-08 20:23:01
fcitx5-rime-lang-5.1.5-r0.apk8.8 KiB2024-04-08 20:23:01
fcitx5-table-extra-5.1.4-r0.apk41.4 MiB2024-04-08 20:23:02
fcitx5-table-other-5.1.1-r0.apk1.4 MiB2024-04-08 20:23:02
fcitx5-unikey-5.1.3-r0.apk138.2 KiB2024-04-08 20:23:02
fcitx5-unikey-lang-5.1.3-r0.apk14.2 KiB2024-04-08 20:23:02
fdm-2.2-r0.apk123.9 KiB2023-03-19 05:17:03
fdm-doc-2.2-r0.apk12.9 KiB2023-03-19 05:17:03
fdm-materials-5.2.2-r0.apk59.7 KiB2023-01-05 05:19:30
featherpad-1.5.0-r0.apk767.1 KiB2024-04-07 22:44:05
featherpad-lang-1.5.0-r0.apk462.6 KiB2024-04-07 22:44:05
felix-2.13.0-r0.apk576.2 KiB2024-05-04 14:39:36
fff-2.2-r0.apk10.7 KiB2022-06-15 20:49:55
fff-doc-2.2-r0.apk9.0 KiB2022-06-15 20:49:55
fflas-ffpack-2.5.0-r3.apk345.4 KiB2023-08-01 13:01:19
ffms2-2.40-r0.apk69.5 KiB2023-12-30 13:50:30
ffms2-dev-2.40-r0.apk7.5 KiB2023-12-30 13:50:30
ffms2-doc-2.40-r0.apk30.0 KiB2023-12-30 13:50:30
ffsend-0.2.76-r4.apk1.5 MiB2023-07-01 09:31:19
ffsend-bash-completion-0.2.76-r4.apk3.6 KiB2023-07-01 09:31:19
ffsend-fish-completion-0.2.76-r4.apk3.6 KiB2023-07-01 09:31:19
ffsend-zsh-completion-0.2.76-r4.apk4.6 KiB2023-07-01 09:31:19
fheroes2-1.0.13-r0.apk1.5 MiB2024-03-16 21:34:38
fheroes2-lang-1.0.13-r0.apk1.4 MiB2024-03-16 21:34:38
fiery-1.1.2-r1.apk229.3 KiB2024-02-05 15:38:49
fiery-lang-1.1.2-r1.apk49.9 KiB2024-02-05 15:38:49
fig2dev-3.2.8b-r0.apk272.1 KiB2022-03-11 10:37:51
fig2dev-doc-3.2.8b-r0.apk21.7 KiB2022-03-11 10:37:51
fileshelter-5.1.2-r4.apk388.3 KiB2024-04-22 19:32:53
fileshelter-openrc-5.1.2-r4.apk1.7 KiB2024-04-22 19:32:53
filite-0.3.0-r2.apk1.1 MiB2023-05-24 09:34:18
findtow-0.1-r0.apk4.9 KiB2022-01-27 01:32:09
finger-0.5-r0.apk6.8 KiB2024-03-11 01:49:27
finger-doc-0.5-r0.apk3.8 KiB2024-03-11 01:49:27
firehol-3.1.7-r2.apk84.8 KiB2023-05-12 18:27:44
firehol-doc-3.1.7-r2.apk674.6 KiB2023-05-12 18:27:44
firehol-openrc-3.1.7-r2.apk2.1 KiB2023-05-12 18:27:44
firewalld-2.1.2-r0.apk1.0 MiB2024-04-14 14:22:37
firewalld-bash-completion-2.1.2-r0.apk4.2 KiB2024-04-14 14:22:37
firewalld-doc-2.1.2-r0.apk80.4 KiB2024-04-14 14:22:37
firewalld-gui-2.1.2-r0.apk109.9 KiB2024-04-14 14:22:37
firewalld-lang-2.1.2-r0.apk540.9 KiB2024-04-14 14:22:37
firewalld-openrc-2.1.2-r0.apk1.8 KiB2024-04-14 14:22:37
firewalld-zsh-completion-2.1.2-r0.apk6.2 KiB2024-04-14 14:22:37
flamegraph-1.0_git20220918-r1.apk37.7 KiB2023-12-19 15:38:30
flameshot-12.1.0-r3.apk549.2 KiB2023-10-08 13:24:19
flameshot-bash-completion-12.1.0-r3.apk2.2 KiB2023-10-08 13:24:19
flameshot-doc-12.1.0-r3.apk4.6 KiB2023-10-08 13:24:19
flameshot-fish-completion-12.1.0-r3.apk3.1 KiB2023-10-08 13:24:19
flameshot-lang-12.1.0-r3.apk488.9 KiB2023-10-08 13:24:19
flameshot-zsh-completion-12.1.0-r3.apk2.9 KiB2023-10-08 13:24:19
flann-1.9.1-r4.apk876.0 KiB2022-07-26 06:19:17
flann-dev-1.9.1-r4.apk936.1 KiB2022-07-26 06:19:17
flann-doc-1.9.1-r4.apk2.6 KiB2022-07-26 06:19:17
flare-engine-1.14-r0.apk4.6 MiB2023-01-26 19:28:18
flare-engine-doc-1.14-r0.apk2.5 KiB2023-01-26 19:28:18
flare-game-1.14-r0.apk2.2 KiB2023-01-26 19:28:18
flatpak-xdg-utils-1.0.5-r0.apk18.6 KiB2023-08-22 15:55:07
flauschige-uhr-0.1-r1.apk4.2 KiB2022-10-25 00:07:45
flint-2.9.0-r1.apk4.6 MiB2023-01-07 13:00:46
flint-dev-2.9.0-r1.apk311.4 KiB2023-01-07 13:00:46
flintqs-1.0-r1.apk22.4 KiB2023-08-01 13:01:19
flowd-0.9.1-r9.apk78.7 KiB2023-07-04 00:04:33
flowd-dev-0.9.1-r9.apk8.3 KiB2023-07-04 00:04:33
flowd-doc-0.9.1-r9.apk10.1 KiB2023-07-04 00:04:33
flowd-openrc-0.9.1-r9.apk1.9 KiB2023-07-04 00:04:33
fluent-bit-2.1.10-r0.apk5.0 MiB2023-10-05 20:16:50
fluent-bit-dev-2.1.10-r0.apk115.7 KiB2023-10-05 20:16:50
fluent-bit-openrc-2.1.10-r0.apk1.7 KiB2023-10-05 20:16:50
flux-2.2.3-r2.apk27.4 MiB2024-04-06 20:38:01
flux-bash-completion-2.2.3-r2.apk13.4 KiB2024-04-06 20:38:01
flux-fish-completion-2.2.3-r2.apk4.3 KiB2024-04-06 20:38:01
flux-zsh-completion-2.2.3-r2.apk4.1 KiB2024-04-06 20:38:01
fnf-0.1-r0.apk18.1 KiB2024-03-11 01:49:27
fnf-doc-0.1-r0.apk4.6 KiB2024-03-11 01:49:27
foma-0.10.0_git20221230-r0.apk329.0 KiB2023-06-13 17:28:18
foma-dev-0.10.0_git20221230-r0.apk8.5 KiB2023-06-13 17:28:18
font-andika-6.200-r0.apk1.5 MiB2024-04-28 19:33:03
font-anonymous-pro-1.002-r2.apk264.5 KiB2022-10-11 02:13:33
font-babelstone-han-15.1.3-r0.apk18.3 MiB2024-01-10 21:19:28
font-chivo-0_git20221110-r0.apk792.4 KiB2022-12-09 22:18:53
font-chivo-mono-0_git20221110-r0.apk625.9 KiB2022-12-09 22:18:53
font-comic-neue-2.51-r0.apk248.8 KiB2021-02-18 17:36:31
font-comic-neue-doc-2.51-r0.apk1004.1 KiB2021-02-18 17:36:31
font-commit-mono-1.143-r0.apk251.3 KiB2023-12-31 15:48:37
font-cousine-0_git20210228-r0.apk110.0 KiB2024-02-23 02:12:48
font-fantasque-sans-1.8.0-r0.apk1.2 KiB2020-07-22 16:33:51
font-fantasque-sans-doc-1.8.0-r0.apk5.5 KiB2020-07-22 16:33:51
font-fantasque-sans-largelineheight-1.8.0-r0.apk315.8 KiB2020-07-22 16:33:51
font-fantasque-sans-largelineheightnoloopk-1.8.0-r0.apk315.8 KiB2020-07-22 16:33:51
font-fantasque-sans-noloopk-1.8.0-r0.apk315.8 KiB2020-07-22 16:33:51
font-fantasque-sans-normal-1.8.0-r0.apk315.8 KiB2020-07-22 16:33:51
font-fira-4.202-r0.apk1.2 KiB2021-12-04 03:13:06
font-fira-code-6.2-r0.apk836.0 KiB2022-07-23 23:12:11
font-fira-code-vf-6.2-r0.apk145.1 KiB2022-07-23 23:12:11
font-fira-otf-4.202-r0.apk7.4 MiB2021-12-04 03:13:07
font-fira-ttf-4.202-r0.apk6.2 MiB2021-12-04 03:13:07
font-firamath-0.3.4-r0.apk118.3 KiB2022-08-18 19:20:19
font-fontawesome-4-4.7.0-r3.apk205.0 KiB2022-10-11 02:13:33
font-hanazono-20170904-r1.apk28.9 MiB2024-01-06 22:27:31
font-intel-one-mono-1.3.0-r0.apk281.5 KiB2023-09-17 18:01:12
font-katex-0.16.2-r0.apk851.6 KiB2022-09-20 19:08:47
font-material-icons-4.0.0-r0.apk651.9 KiB2023-02-26 18:22:21
font-monaspace-1.000-r0.apk1.5 KiB2023-11-11 16:12:17
font-monaspace-argon-1.000-r0.apk2.1 MiB2023-11-11 16:12:18
font-monaspace-krypton-1.000-r0.apk2.0 MiB2023-11-11 16:12:18
font-monaspace-neon-1.000-r0.apk2.1 MiB2023-11-11 16:12:18
font-monaspace-radon-1.000-r0.apk2.7 MiB2023-11-11 16:12:18
font-monaspace-xenon-1.000-r0.apk2.3 MiB2023-11-11 16:12:18
font-monocraft-3.0-r0.apk41.7 KiB2023-06-10 18:44:13
font-raleway-4.101-r1.apk1.2 KiB2021-11-22 14:08:39
font-raleway-otf-4.101-r1.apk1.3 MiB2021-11-22 14:08:39
font-raleway-ttf-4.101-r1.apk1.6 MiB2021-11-22 14:08:39
font-siji-20190218_git-r2.apk24.5 KiB2022-10-08 16:05:26
font-stix-otf-2.13-r0.apk2.0 MiB2024-02-23 02:12:48
font-stix-ttf-2.13-r0.apk430.1 KiB2024-02-23 02:12:48
font-tamzen-1.11.5-r1.apk61.9 KiB2022-10-08 16:05:26
font-teluguvijayam-20190525-r1.apk3.7 MiB2022-08-21 15:19:03
font-tinos-0_git20210228-r0.apk198.7 KiB2024-02-23 02:12:48
font-tiresias-0_git20200704-r0.apk568.3 KiB2023-01-02 22:43:02
font-tiresias-doc-0_git20200704-r0.apk58.1 KiB2023-01-02 22:43:02
font-twemoji-15.0.3-r0.apk2.7 MiB2024-01-30 14:59:55
foolsm-1.0.21-r0.apk34.2 KiB2022-05-21 12:41:06
foolsm-doc-1.0.21-r0.apk3.9 KiB2022-05-21 12:41:06
foolsm-openrc-1.0.21-r0.apk1.5 KiB2022-05-21 12:41:06
forgejo-7.0.2-r0.apk42.3 MiB2024-05-04 14:39:37
forgejo-openrc-7.0.2-r0.apk1.8 KiB2024-05-04 14:39:37
forgejo-runner-3.4.1-r1.apk6.9 MiB2024-04-06 20:38:05
forgejo-runner-openrc-3.4.1-r1.apk2.4 KiB2024-04-06 20:38:05
fox-1.6.57-r0.apk1.1 MiB2022-08-08 10:58:02
fox-adie-1.6.57-r0.apk127.8 KiB2022-08-08 10:58:02
fox-calculator-1.6.57-r0.apk34.9 KiB2022-08-08 10:58:02
fox-dev-1.6.57-r0.apk1.7 MiB2022-08-08 10:58:03
fox-doc-1.6.57-r0.apk2.0 MiB2022-08-08 10:58:03
fox-pathfinder-1.6.57-r0.apk54.1 KiB2022-08-08 10:58:03
fox-shutterbug-1.6.57-r0.apk22.6 KiB2022-08-08 10:58:03
fox-utils-1.6.57-r0.apk6.9 KiB2022-08-08 10:58:03
fpc-3.2.2-r2.apk69.8 MiB2022-08-07 15:20:45
fpc-doc-3.2.2-r2.apk1.2 MiB2022-08-07 15:20:45
fpc-stage0-3.2.2-r1.apk6.2 MiB2021-12-25 10:38:47
fplll-5.4.5-r0.apk52.3 KiB2023-10-22 08:09:34
fplll-dev-5.4.5-r0.apk79.5 KiB2023-10-22 08:09:34
fplll-libs-5.4.5-r0.apk6.3 MiB2023-10-22 08:09:35
fplll-static-5.4.5-r0.apk7.1 MiB2023-10-22 08:09:35
fplll-strategies-5.4.5-r0.apk1.7 MiB2023-10-22 08:09:35
fpp-0.9.5-r0.apk29.3 KiB2022-02-14 22:18:52
fpp-doc-0.9.5-r0.apk5.6 KiB2022-02-14 22:18:52
fq-0.10.0-r3.apk4.5 MiB2024-04-06 20:38:06
freealut-1.1.0-r1.apk19.3 KiB2022-07-26 02:56:54
freealut-dev-1.1.0-r1.apk24.8 KiB2022-07-26 02:56:54
freediameter-1.5.0-r1.apk9.0 KiB2022-11-06 11:08:17
freediameter-dev-1.5.0-r1.apk53.8 KiB2022-11-06 11:08:17
freediameter-extensions-1.5.0-r1.apk323.0 KiB2022-11-06 11:08:17
freediameter-libfdcore-1.5.0-r1.apk158.8 KiB2022-11-06 11:08:17
freediameter-libfdproto-1.5.0-r1.apk87.1 KiB2022-11-06 11:08:17
freedoom-0.12.1-r2.apk11.7 MiB2023-10-31 11:19:19
freedoom-doc-0.12.1-r2.apk58.4 KiB2023-10-31 11:19:19
frescobaldi-3.3.0-r1.apk3.5 MiB2024-04-15 23:59:55
frescobaldi-doc-3.3.0-r1.apk2.5 KiB2024-04-15 23:59:55
frescobaldi-pyc-3.3.0-r1.apk1.2 MiB2024-04-15 23:59:55
freshrss-1.23.1-r1.apk1.5 MiB2024-03-20 05:17:50
freshrss-doc-1.23.1-r1.apk751.2 KiB2024-03-20 05:17:50
freshrss-lang-1.23.1-r1.apk379.3 KiB2024-03-20 05:17:50
freshrss-mysql-1.23.1-r1.apk1.5 KiB2024-03-20 05:17:50
freshrss-openrc-1.23.1-r1.apk2.5 KiB2024-03-20 05:17:50
freshrss-pgsql-1.23.1-r1.apk1.5 KiB2024-03-20 05:17:50
freshrss-sqlite-1.23.1-r1.apk1.5 KiB2024-03-20 05:17:50
freshrss-themes-1.23.1-r1.apk1.5 MiB2024-03-20 05:17:51
fscryptctl-1.1.0-r0.apk8.3 KiB2024-04-09 18:48:33
fulcrum-1.9.8-r0.apk966.5 KiB2024-02-12 22:45:37
fulcrum-admin-1.9.8-r0.apk7.9 KiB2024-02-12 22:45:37
fulcrum-doc-1.9.8-r0.apk21.6 KiB2024-02-12 22:45:37
fusee-nano-0.5.3-r0.apk20.9 KiB2023-12-31 18:28:31
fusee-nano-udev-0.5.3-r0.apk1.7 KiB2023-12-31 18:28:31
fzy-1.0-r3.apk14.5 KiB2022-10-25 00:07:45
fzy-doc-1.0-r3.apk2.7 KiB2022-10-25 00:07:45
g4music-3.5.2-r0.apk175.1 KiB2024-04-25 14:35:50
g4music-lang-3.5.2-r0.apk36.3 KiB2024-04-25 14:35:50
gambit-4.9.5-r0.apk9.9 MiB2024-01-21 13:58:09
gambit-dev-4.9.5-r0.apk6.6 MiB2024-01-21 13:58:09
gambit-doc-4.9.5-r0.apk4.4 KiB2024-01-21 13:58:09
game-devices-udev-0.22-r2.apk6.8 KiB2023-10-31 11:19:19
gamescope-3.12.0-r1.apk436.6 KiB2023-11-25 23:45:19
gamja-1.0.0_beta9-r0.apk599.2 KiB2023-11-26 22:44:07
gamja-doc-1.0.0_beta9-r0.apk2.2 KiB2023-11-26 22:44:07
gammastep-2.0.9-r3.apk90.1 KiB2024-04-15 23:59:55
gammastep-doc-2.0.9-r3.apk14.1 KiB2024-04-15 23:59:55
gammastep-lang-2.0.9-r3.apk77.5 KiB2024-04-15 23:59:55
gammastep-pyc-2.0.9-r3.apk16.9 KiB2024-04-15 23:59:55
gatling-0.16-r4.apk158.5 KiB2023-08-07 21:01:09
gatling-doc-0.16-r4.apk9.1 KiB2023-08-07 21:01:09
gatling-openrc-0.16-r4.apk2.8 KiB2023-08-07 21:01:09
gaupol-1.12-r2.apk276.2 KiB2024-04-15 23:59:55
gaupol-doc-1.12-r2.apk2.4 KiB2024-04-15 23:59:55
gaupol-lang-1.12-r2.apk276.7 KiB2024-04-15 23:59:55
gaupol-pyc-1.12-r2.apk419.2 KiB2024-04-15 23:59:55
gb-0.4.4-r23.apk6.5 MiB2024-04-06 20:38:07
gdb-esp-13.2-r2.apk1.5 KiB2024-04-16 16:14:25
gdb-xtensa-esp32-elf-13.2-r2.apk2.9 MiB2024-04-16 16:14:25
gdb-xtensa-esp32s2-elf-13.2-r2.apk2.9 MiB2024-04-16 16:14:25
gdb-xtensa-esp32s3-elf-13.2-r2.apk3.0 MiB2024-04-16 16:14:25
gdb-xtensa-esp8266-elf-13.2-r2.apk2.9 MiB2024-04-16 16:14:25
gdcm-3.0.23-r2.apk397.9 KiB2024-04-16 00:54:45
gdcm-dev-3.0.23-r2.apk462.5 KiB2024-04-16 00:54:45
gdcm-doc-3.0.23-r2.apk55.5 KiB2024-04-16 00:54:45
gdm-settings-4.3-r1.apk151.8 KiB2024-04-15 23:59:55
gdm-settings-lang-4.3-r1.apk155.1 KiB2024-04-15 23:59:56
gearman-dev-1.1.21-r1.apk1.0 MiB2024-04-22 19:32:53
gearman-libs-1.1.21-r1.apk87.0 KiB2024-04-22 19:32:53
gearmand-1.1.21-r1.apk196.9 KiB2024-04-22 19:32:53
gearmand-doc-1.1.21-r1.apk189.7 KiB2024-04-22 19:32:53
gearmand-openrc-1.1.21-r1.apk1.8 KiB2024-04-22 19:32:53
gede-2.18.2-r1.apk290.3 KiB2023-12-19 15:38:30
genact-1.4.2-r0.apk1.3 MiB2024-02-20 21:51:19
geodns-3.3.0-r5.apk4.6 MiB2024-04-06 20:38:07
geodns-logs-3.3.0-r5.apk4.2 MiB2024-04-06 20:38:08
geodns-openrc-3.3.0-r5.apk1.8 KiB2024-04-06 20:38:08
geomyidae-0.34-r2.apk15.6 KiB2022-10-25 00:07:45
geomyidae-doc-0.34-r2.apk7.7 KiB2022-10-25 00:07:45
geomyidae-openrc-0.34-r2.apk2.0 KiB2022-10-25 00:07:45
geonames-0.3.1-r1.apk827.2 KiB2024-04-17 17:36:59
geonames-dev-0.3.1-r1.apk3.0 KiB2024-04-17 17:36:59
geonames-doc-0.3.1-r1.apk12.6 KiB2024-04-17 17:36:59
geonames-lang-0.3.1-r1.apk4.6 MiB2024-04-17 17:36:59
getdns-1.7.3-r0.apk33.6 KiB2023-02-22 17:33:57
getdns-dev-1.7.3-r0.apk34.0 KiB2023-02-22 17:33:57
getdns-doc-1.7.3-r0.apk101.7 KiB2023-02-22 17:33:57
getdns-libs-1.7.3-r0.apk200.8 KiB2023-02-22 17:33:57
getmail6-6.18.14-r1.apk70.1 KiB2024-04-15 23:59:56
getmail6-doc-6.18.14-r1.apk136.5 KiB2024-04-15 23:59:56
getmail6-pyc-6.18.14-r1.apk101.2 KiB2024-04-15 23:59:56
getssl-2.48-r0.apk82.2 KiB2024-02-16 13:59:29
getting-things-gnome-0.6-r3.apk722.9 KiB2024-04-15 23:59:56
getting-things-gnome-doc-0.6-r3.apk498.5 KiB2024-04-15 23:59:56
getting-things-gnome-lang-0.6-r3.apk230.4 KiB2024-04-15 23:59:56
gf2x-1.3.0-r0.apk46.2 KiB2021-02-15 09:38:38
gf2x-dev-1.3.0-r0.apk67.7 KiB2021-02-15 09:38:38
ghostcloud-0.9.9.5-r2.apk465.2 KiB2024-04-30 12:30:18
ghq-1.6.1-r0.apk3.5 MiB2024-05-04 14:39:37
ghq-bash-completion-1.6.1-r0.apk1.8 KiB2024-05-04 14:39:37
ghq-doc-1.6.1-r0.apk5.4 KiB2024-05-04 14:39:37
ghq-fish-completion-1.6.1-r0.apk2.5 KiB2024-05-04 14:39:37
ghq-zsh-completion-1.6.1-r0.apk2.4 KiB2024-05-04 14:39:37
gimp-plugin-gmic-3.3.5-r0.apk1.4 MiB2024-04-26 14:00:14
ginac-1.8.7-r1.apk1.2 MiB2024-01-28 13:56:19
ginac-dev-1.8.7-r1.apk69.9 KiB2024-01-28 13:56:19
ginac-doc-1.8.7-r1.apk98.0 KiB2024-01-28 13:56:19
ginger-2.4.0-r7.apk257.1 KiB2024-04-15 23:59:56
ginger-lang-2.4.0-r7.apk125.4 KiB2024-04-15 23:59:56
ginger-pyc-2.4.0-r7.apk206.8 KiB2024-04-15 23:59:56
gingerbase-2.3.0-r7.apk195.2 KiB2024-04-15 23:59:56
gingerbase-lang-2.3.0-r7.apk52.9 KiB2024-04-15 23:59:56
gingerbase-pyc-2.3.0-r7.apk61.5 KiB2024-04-15 23:59:56
git-bug-0.8.0-r11.apk9.1 MiB2024-04-06 20:38:09
git-bug-bash-completion-0.8.0-r11.apk5.2 KiB2024-04-06 20:38:09
git-bug-doc-0.8.0-r11.apk17.5 KiB2024-04-06 20:38:09
git-bug-fish-completion-0.8.0-r11.apk3.9 KiB2024-04-06 20:38:09
git-bug-zsh-completion-0.8.0-r11.apk4.0 KiB2024-04-06 20:38:09
git-cola-4.4.1-r1.apk826.2 KiB2024-04-15 23:59:56
git-cola-doc-4.4.1-r1.apk5.9 KiB2024-04-15 23:59:56
git-cola-pyc-4.4.1-r1.apk738.7 KiB2024-04-15 23:59:56
git-extras-7.1.0-r0.apk55.9 KiB2023-10-30 10:42:41
git-extras-bash-completion-7.1.0-r0.apk2.9 KiB2023-10-30 10:42:41
git-extras-doc-7.1.0-r0.apk63.6 KiB2023-10-30 10:42:41
git-filter-repo-2.38.0-r0.apk44.7 KiB2023-10-14 21:15:48
git-filter-repo-doc-2.38.0-r0.apk21.7 KiB2023-10-14 21:15:48
git-quick-stats-2.5.5-r0.apk11.0 KiB2024-04-29 16:35:48
git-quick-stats-doc-2.5.5-r0.apk2.9 KiB2024-04-29 16:35:48
git-revise-0.7.0-r4.apk23.1 KiB2024-04-15 23:59:56
git-revise-doc-0.7.0-r4.apk5.0 KiB2024-04-15 23:59:56
git-revise-pyc-0.7.0-r4.apk42.2 KiB2024-04-15 23:59:56
git-secret-0.5.0-r0.apk14.8 KiB2022-10-28 14:17:47
git-secret-doc-0.5.0-r0.apk17.1 KiB2022-10-28 14:17:47
git2json-0.2.3-r8.apk7.4 KiB2024-04-15 15:07:07
git2json-pyc-0.2.3-r8.apk5.7 KiB2024-04-15 15:07:07
gitoxide-0.14.0-r1.apk2.8 MiB2023-05-24 09:34:18
glfw-wayland-3.3.8-r3.apk63.4 KiB2023-07-04 00:05:07
glfw-wayland-dbg-3.3.8-r3.apk179.2 KiB2023-07-04 00:05:07
glfw-wayland-dev-3.3.8-r3.apk45.6 KiB2023-07-04 00:05:07
glmark2-2023.01-r0.apk8.0 MiB2023-05-18 21:57:41
glmark2-doc-2023.01-r0.apk12.8 KiB2023-05-18 21:57:41
gloox-1.0.28-r0.apk410.2 KiB2023-11-24 00:30:18
gloox-dev-1.0.28-r0.apk878.3 KiB2023-11-24 00:30:18
glow-1.5.1-r6.apk6.9 MiB2024-04-06 20:38:09
glow-bash-completion-1.5.1-r6.apk5.0 KiB2024-04-06 20:38:09
glow-fish-completion-1.5.1-r6.apk3.9 KiB2024-04-06 20:38:09
glow-zsh-completion-1.5.1-r6.apk4.0 KiB2024-04-06 20:38:09
glslviewer-3.2.4-r0.apk1.9 MiB2023-11-13 22:34:00
gmenuharness-0.1.4-r0.apk40.5 KiB2023-11-05 16:36:55
gmenuharness-dev-0.1.4-r0.apk4.3 KiB2023-11-05 16:36:55
gmic-3.3.5-r0.apk11.3 MiB2024-04-26 14:00:14
gmic-bash-completion-3.3.5-r0.apk28.5 KiB2024-04-26 14:00:14
gmic-dev-3.3.5-r0.apk7.8 KiB2024-04-26 14:00:14
gmic-doc-3.3.5-r0.apk218.9 KiB2024-04-26 14:00:15
gmic-libs-3.3.5-r0.apk4.4 MiB2024-04-26 14:00:15
gmic-qt-3.3.5-r0.apk1.7 MiB2024-04-26 14:00:15
gmid-2.0.2-r0.apk230.5 KiB2024-04-05 23:22:49
gmid-doc-2.0.2-r0.apk14.3 KiB2024-04-05 23:22:49
gmid-openrc-2.0.2-r0.apk2.3 KiB2024-04-05 23:22:49
gnome-common-3.18.0-r3.apk11.5 KiB2023-01-29 21:03:39
gnome-latex-3.44.0-r5.apk368.5 KiB2023-10-31 11:19:19
gnome-latex-doc-3.44.0-r5.apk111.9 KiB2023-10-31 11:19:19
gnome-latex-lang-3.44.0-r5.apk397.3 KiB2023-10-31 11:19:19
gnome-metronome-1.3.0-r0.apk451.3 KiB2023-06-14 22:43:29
gnome-metronome-lang-1.3.0-r0.apk24.7 KiB2023-06-14 22:43:29
gnomecast-1.9.11_git20220423-r2.apk21.2 KiB2023-04-23 19:45:46
gnomecast-pyc-1.9.11_git20220423-r2.apk44.0 KiB2023-04-23 19:45:46
gnu-apl-1.8-r1.apk1.1 MiB2024-03-13 21:54:55
gnu-apl-dev-1.8-r1.apk528.8 KiB2024-03-13 21:54:55
gnu-apl-doc-1.8-r1.apk883.1 KiB2024-03-13 21:54:55
go-jsonnet-0.20.0-r6.apk5.8 MiB2024-04-06 20:38:10
go-mtpfs-1.0.0-r19.apk1.1 MiB2024-04-06 20:38:10
go-passbolt-cli-0.3.1-r0.apk6.6 MiB2024-04-12 00:01:48
go-swag-1.8.12-r4.apk4.4 MiB2024-04-06 20:38:10
gobang-0.1.0_alpha5-r1.apk1.9 MiB2023-05-24 09:34:18
gobuster-3.6.0-r4.apk3.2 MiB2024-04-06 20:38:11
godot-4.1.3-r1.apk43.5 MiB2024-04-14 14:22:40
godot-doc-4.1.3-r1.apk4.4 KiB2024-04-14 14:22:40
godot-templates-4.1.3-r1.apk46.2 MiB2024-04-14 14:22:44
gomp-1.0.0-r4.apk3.3 MiB2024-04-06 20:38:11
goomwwm-1.0.0-r4.apk46.0 KiB2024-04-12 00:01:48
goreman-0.3.15-r5.apk2.0 MiB2024-04-06 20:38:11
gortr-0.14.8-r5.apk9.6 MiB2024-04-06 20:38:12
gortr-openrc-0.14.8-r5.apk2.0 KiB2024-04-06 20:38:12
gosu-1.17-r2.apk1.1 MiB2024-04-06 20:38:12
gotestsum-1.11.0-r2.apk2.3 MiB2024-04-06 20:38:12
goxel-0.12.0-r0.apk1.3 MiB2023-04-03 02:49:19
gpa-0.10.0-r2.apk252.2 KiB2022-10-25 00:07:45
gpa-doc-0.10.0-r2.apk2.9 KiB2022-10-25 00:07:45
gpg-remailer-3.04.07-r0.apk45.7 KiB2022-12-30 11:44:13
gpg-remailer-doc-3.04.07-r0.apk9.5 KiB2022-12-30 11:44:13
gprbuild-22.0.0-r2.apk12.5 MiB2023-05-14 19:28:46
gpscorrelate-2.0_git20230605-r0.apk47.4 KiB2023-06-15 08:48:41
gpscorrelate-cli-2.0_git20230605-r0.apk24.0 KiB2023-06-15 08:48:41
gpscorrelate-doc-2.0_git20230605-r0.apk171.0 KiB2023-06-15 08:48:41
gpscorrelate-lang-2.0_git20230605-r0.apk16.5 KiB2023-06-15 08:48:41
granite7-7.4.0-r0.apk120.2 KiB2023-12-23 01:53:14
granite7-dev-7.4.0-r0.apk44.7 KiB2023-12-23 01:53:14
granite7-lang-7.4.0-r0.apk52.2 KiB2023-12-23 01:53:14
grass-0.12.3-r1.apk820.6 KiB2023-05-24 09:34:18
grcov-0.8.19-r1.apk1.8 MiB2023-09-11 14:52:29
greetd-mini-wl-greeter-0_git20230821-r0.apk19.5 KiB2023-08-22 10:22:33
greetd-mini-wl-greeter-bash-completion-0_git20230821-r0.apk2.2 KiB2023-08-22 10:22:33
greetd-mini-wl-greeter-doc-0_git20230821-r0.apk3.3 KiB2023-08-22 10:22:33
greetd-regreet-0.1.1-r0.apk921.6 KiB2023-06-11 20:21:27
greetd-wlgreet-0.5.0-r0.apk613.7 KiB2024-04-21 23:48:38
grip-4.2.4-r0.apk388.3 KiB2023-01-26 19:27:24
grip-doc-4.2.4-r0.apk6.2 KiB2023-01-26 19:27:24
grip-lang-4.2.4-r0.apk144.4 KiB2023-01-26 19:27:24
grommunio-admin-api-1.14-r0.apk234.1 KiB2024-04-26 06:36:51
grommunio-admin-api-bash-completion-1.14-r0.apk2.1 KiB2024-04-26 06:36:51
grommunio-admin-api-doc-1.14-r0.apk24.3 KiB2024-04-26 06:36:51
grommunio-admin-api-openrc-1.14-r0.apk1.7 KiB2024-04-26 06:36:51
grommunio-admin-common-1.0_git20240118-r0.apk9.0 KiB2024-04-26 06:36:51
grommunio-admin-web-2.9.0-r0.apk3.5 MiB2024-04-26 06:36:51
grommunio-common-1.0-r0.apk6.5 KiB2024-04-26 06:36:51
grommunio-common-openrc-1.0-r0.apk1.7 KiB2024-04-26 06:36:51
grommunio-dav-2.0_git20240118-r0.apk707.5 KiB2024-04-26 06:36:51
grommunio-error-pages-1.0_git20231031-r0.apk23.5 KiB2024-04-26 06:36:51
grommunio-gromox-2.27-r0.apk2.7 MiB2024-04-26 06:36:51
grommunio-gromox-dbg-2.27-r0.apk30.5 MiB2024-04-26 06:36:52
grommunio-gromox-dev-2.27-r0.apk1.9 KiB2024-04-26 06:36:52
grommunio-gromox-doc-2.27-r0.apk113.2 KiB2024-04-26 06:36:52
grommunio-gromox-openrc-2.27-r0.apk2.5 KiB2024-04-26 06:36:52
grommunio-index-1.0-r0.apk48.4 KiB2024-04-26 06:36:52
grommunio-mapi-header-php-1.3-r0.apk93.8 KiB2024-04-26 06:36:52
grommunio-sync-2.0_git20240226-r0.apk322.9 KiB2024-04-26 06:36:52
grommunio-web-3.7-r0.apk15.5 MiB2024-04-26 06:36:52
gron-0.7.1-r16.apk2.3 MiB2024-04-06 20:38:12
grpc-health-check-0.1.1-r3.apk1018.9 KiB2023-05-24 09:34:18
grpcui-1.4.1-r0.apk9.3 MiB2024-04-28 19:30:27
grpcurl-1.9.1-r0.apk8.1 MiB2024-05-04 11:32:21
gsettings-qt-0.2_git20220807-r0.apk47.9 KiB2023-11-04 16:57:17
gsettings-qt-dev-0.2_git20220807-r0.apk3.6 KiB2023-11-04 16:57:17
gsimplecal-2.5.1-r0.apk17.3 KiB2024-02-10 01:12:43
gsimplecal-doc-2.5.1-r0.apk5.8 KiB2024-02-10 01:12:43
gst-rtsp-server-1.24.3-r0.apk247.0 KiB2024-05-07 08:26:09
gst-rtsp-server-dev-1.24.3-r0.apk94.3 KiB2024-05-07 08:26:09
gstreamermm-1.10.0-r4.apk527.8 KiB2022-10-25 00:07:45
gstreamermm-dev-1.10.0-r4.apk320.2 KiB2022-10-25 00:07:45
gtk4-layer-shell-1.0.2-r0.apk14.7 KiB2023-11-10 22:52:39
gtk4-layer-shell-demo-1.0.2-r0.apk10.2 KiB2023-11-10 22:52:39
gtk4-layer-shell-dev-1.0.2-r0.apk9.3 KiB2023-11-10 22:52:39
gtkhash-1.5-r0.apk90.3 KiB2022-10-01 21:33:12
gtkhash-lang-1.5-r0.apk46.6 KiB2022-10-01 21:33:12
gtklock-2.1.0-r0.apk17.3 KiB2023-07-18 20:21:44
gtklock-doc-2.1.0-r0.apk2.9 KiB2023-07-18 20:21:44
gtksourceviewmm3-3.21.3-r2.apk120.6 KiB2023-04-14 17:53:31
gtksourceviewmm3-dev-3.21.3-r2.apk56.8 KiB2023-04-14 17:53:31
gtksourceviewmm3-devhelp-3.21.3-r2.apk28.4 KiB2023-04-14 17:53:31
gtksourceviewmm3-doc-3.21.3-r2.apk1.3 MiB2023-04-14 17:53:31
gtksourceviewmm4-3.91.1-r2.apk116.6 KiB2023-04-14 17:53:31
gtksourceviewmm4-dev-3.91.1-r2.apk54.1 KiB2023-04-14 17:53:31
gtksourceviewmm4-devhelp-3.91.1-r2.apk25.8 KiB2023-04-14 17:53:31
gtksourceviewmm4-doc-3.91.1-r2.apk1.4 MiB2023-04-14 17:53:31
gtkwave-3.3.117-r0.apk2.5 MiB2023-11-16 20:59:31
gtkwave-doc-3.3.117-r0.apk27.1 KiB2023-11-16 20:59:31
guake-3.10-r1.apk305.3 KiB2024-04-15 23:59:56
guake-lang-3.10-r1.apk187.8 KiB2024-04-15 23:59:56
guake-pyc-3.10-r1.apk185.9 KiB2024-04-15 23:59:56
guestfs-tools-1.52.0-r1.apk278.3 KiB2024-04-15 23:59:56
guetzli-0_git20191025-r1.apk138.2 KiB2022-10-25 00:07:45
guetzli-dev-0_git20191025-r1.apk2.2 MiB2022-10-25 00:07:46
gufw-24.04-r1.apk576.4 KiB2024-04-15 23:59:56
gufw-doc-24.04-r1.apk4.6 KiB2024-04-15 23:59:56
gufw-lang-24.04-r1.apk856.8 KiB2024-04-15 23:59:56
gufw-pyc-24.04-r1.apk97.1 KiB2024-04-15 23:59:56
guish-2.6.10-r0.apk104.2 KiB2023-05-27 15:24:09
guish-doc-2.6.10-r0.apk61.8 KiB2023-05-27 15:24:09
gutenprint-5.3.4-r3.apk814.4 KiB2023-05-21 17:06:54
gutenprint-cups-5.3.4-r3.apk48.6 MiB2023-05-21 17:06:55
gutenprint-dev-5.3.4-r3.apk35.7 KiB2023-05-21 17:06:55
gutenprint-doc-5.3.4-r3.apk8.4 KiB2023-05-21 17:06:55
gutenprint-lang-5.3.4-r3.apk1.9 MiB2023-05-21 17:06:55
gutenprint-libs-5.3.4-r3.apk511.2 KiB2023-05-21 17:06:55
gutenprint-samples-5.3.4-r3.apk636.4 KiB2023-05-21 17:06:55
gutenprint-static-5.3.4-r3.apk773.1 KiB2023-05-21 17:06:55
gx-0.14.3-r22.apk4.4 MiB2024-04-06 20:38:13
gx-doc-0.14.3-r22.apk2.3 KiB2024-04-06 20:38:13
gx-go-1.9.0-r24.apk4.7 MiB2024-04-06 20:38:13
gx-go-doc-1.9.0-r24.apk2.3 KiB2024-04-06 20:38:13
h4h5tools-2.2.5-r3.apk108.6 KiB2024-05-04 14:39:37
h4h5tools-dev-2.2.5-r3.apk8.8 KiB2024-05-04 14:39:37
h4h5tools-doc-2.2.5-r3.apk2.7 KiB2024-05-04 14:39:37
h4h5tools-static-2.2.5-r3.apk103.2 KiB2024-05-04 14:39:37
habitctl-0.1.0-r2.apk332.2 KiB2023-05-24 09:34:18
halp-0.1.7-r1.apk995.3 KiB2023-07-01 09:31:20
halp-bash-completion-0.1.7-r1.apk2.1 KiB2023-07-01 09:31:20
halp-doc-0.1.7-r1.apk6.8 KiB2023-07-01 09:31:20
halp-fish-completion-0.1.7-r1.apk1.9 KiB2023-07-01 09:31:20
halp-zsh-completion-0.1.7-r1.apk2.3 KiB2023-07-01 09:31:20
hamster-time-tracker-3.0.3-r2.apk155.8 KiB2024-04-15 23:59:56
hamster-time-tracker-bash-completion-3.0.3-r2.apk2.0 KiB2024-04-15 23:59:56
hamster-time-tracker-doc-3.0.3-r2.apk116.2 KiB2024-04-15 23:59:56
hamster-time-tracker-lang-3.0.3-r2.apk205.7 KiB2024-04-15 23:59:56
hamster-time-tracker-pyc-3.0.3-r2.apk358.1 KiB2024-04-15 23:59:56
handlebars-1.0.0-r1.apk106.6 KiB2023-05-16 12:37:09
handlebars-dev-1.0.0-r1.apk32.4 KiB2023-05-16 12:37:09
handlebars-utils-1.0.0-r1.apk9.8 KiB2023-05-16 12:37:09
harminv-1.4.2-r1.apk8.0 KiB2023-10-08 17:25:44
harminv-dev-1.4.2-r1.apk3.1 KiB2023-10-08 17:25:44
harminv-doc-1.4.2-r1.apk5.7 KiB2023-10-08 17:25:44
harminv-libs-1.4.2-r1.apk15.0 KiB2023-10-08 17:25:44
hashcat-6.2.6-r0.apk66.6 MiB2022-09-04 23:49:51
hashcat-doc-6.2.6-r0.apk2.1 MiB2022-09-04 23:49:51
hatop-0.8.2-r0.apk18.0 KiB2022-08-01 17:45:59
hatop-doc-0.8.2-r0.apk3.0 KiB2022-08-01 17:45:59
hctl-0.2.6-r0.apk1.2 MiB2024-03-11 01:49:29
hdf4-4.2.15-r1.apk240.7 KiB2023-05-16 12:37:09
hdf4-dev-4.2.15-r1.apk100.7 KiB2023-05-16 12:37:09
hdf4-doc-4.2.15-r1.apk6.0 KiB2023-05-16 12:37:09
hdf4-tools-4.2.15-r1.apk185.5 KiB2023-05-16 12:37:09
hdr10plus-tool-1.6.0-r0.apk775.3 KiB2023-06-12 15:20:53
headscale-0.22.3-r6.apk10.3 MiB2024-04-06 20:38:14
headscale-bash-completion-0.22.3-r6.apk5.1 KiB2024-04-06 20:38:14
headscale-fish-completion-0.22.3-r6.apk4.3 KiB2024-04-06 20:38:14
headscale-openrc-0.22.3-r6.apk1.8 KiB2024-04-06 20:38:14
headscale-zsh-completion-0.22.3-r6.apk4.1 KiB2024-04-06 20:38:14
helm-ls-0.0.12-r1.apk11.5 MiB2024-04-06 20:38:15
helm-ls-doc-0.0.12-r1.apk2.3 KiB2024-04-06 20:38:15
helmfile-0.162.0-r2.apk33.3 MiB2024-04-06 20:38:17
helmfile-bash-completion-0.162.0-r2.apk5.1 KiB2024-04-06 20:38:17
helmfile-doc-0.162.0-r2.apk2.3 KiB2024-04-06 20:38:17
helmfile-fish-completion-0.162.0-r2.apk4.3 KiB2024-04-06 20:38:17
helmfile-zsh-completion-0.162.0-r2.apk4.1 KiB2024-04-06 20:38:17
herbe-1.0.0-r0.apk5.6 KiB2022-08-10 13:17:17
hex-0.6.0-r0.apk298.0 KiB2024-01-07 20:57:00
hexdiff-0.0.53-r2.apk16.0 KiB2022-10-25 00:07:46
hexdiff-doc-0.0.53-r2.apk3.7 KiB2022-10-25 00:07:46
hexedit-1.6_git20230905-r0.apk19.5 KiB2024-04-04 14:58:00
hexedit-doc-1.6_git20230905-r0.apk5.6 KiB2024-04-04 14:58:00
hexer-1.4.0-r14.apk62.0 KiB2023-11-14 18:41:51
hexer-dev-1.4.0-r14.apk7.9 KiB2023-11-14 18:41:51
hfst-3.16.0-r2.apk1.4 MiB2024-04-15 23:59:56
hfst-dev-3.16.0-r2.apk212.5 KiB2024-04-15 23:59:56
hfst-doc-3.16.0-r2.apk71.1 KiB2024-04-15 23:59:56
hfst-libs-3.16.0-r2.apk1.8 MiB2024-04-15 23:59:56
hiawatha-11.5-r0.apk206.2 KiB2024-04-14 14:22:44
hiawatha-doc-11.5-r0.apk21.1 KiB2024-04-14 14:22:44
hiawatha-letsencrypt-11.5-r0.apk17.6 KiB2024-04-14 14:22:44
hiawatha-openrc-11.5-r0.apk1.7 KiB2024-04-14 14:22:44
hidrd-0.2.0_git20190603-r1.apk73.5 KiB2022-10-25 00:07:46
hidrd-dev-0.2.0_git20190603-r1.apk129.4 KiB2022-10-25 00:07:46
hikari-2.3.3-r6.apk946.7 KiB2024-02-02 21:17:45
hikari-doc-2.3.3-r6.apk13.6 KiB2024-02-02 21:17:45
hikari-unlocker-2.3.3-r6.apk4.1 KiB2024-02-02 21:17:45
hilbish-2.2.3-r0.apk3.3 MiB2024-05-04 09:17:12
hilbish-doc-2.2.3-r0.apk25.8 KiB2024-05-04 09:17:12
hiprompt-gtk-py-0_git20230225-r0.apk7.1 KiB2023-02-25 16:56:02
hitide-0.15.0-r0.apk1.9 MiB2024-03-29 01:16:41
hitide-openrc-0.15.0-r0.apk2.1 KiB2024-03-29 01:16:41
homebank-5.7.4-r0.apk1.8 MiB2024-02-18 20:23:02
homebank-lang-5.7.4-r0.apk906.1 KiB2024-02-18 20:23:02
hopalong-0.1-r3.apk25.6 KiB2022-10-18 03:12:58
horizon-0.9.6-r9.apk224.8 KiB2024-04-22 19:32:53
horizon-dbg-0.9.6-r9.apk3.9 MiB2024-04-22 19:32:53
horizon-dev-0.9.6-r9.apk4.9 KiB2024-04-22 19:32:53
horizon-doc-0.9.6-r9.apk21.4 KiB2024-04-22 19:32:53
horizon-image-0.9.6-r9.apk73.1 KiB2024-04-22 19:32:53
horizon-tools-0.9.6-r9.apk86.4 KiB2024-04-22 19:32:53
horust-0.1.7-r1.apk1.0 MiB2023-05-24 09:34:18
horust-doc-0.1.7-r1.apk9.2 KiB2023-05-24 09:34:18
howard-bc-6.7.5-r0.apk69.5 KiB2024-01-08 00:12:30
howard-bc-doc-6.7.5-r0.apk38.5 KiB2024-01-08 00:12:30
hping3-20051105-r4.apk81.7 KiB2020-07-22 16:34:10
hping3-doc-20051105-r4.apk16.7 KiB2020-07-22 16:34:10
hpnssh-18.4.0-r0.apk2.1 MiB2024-04-29 12:13:28
hpnssh-doc-18.4.0-r0.apk98.7 KiB2024-04-29 12:13:28
hsetroot-1.0.5-r1.apk10.8 KiB2022-10-25 00:07:46
hstdb-2.1.0-r2.apk893.9 KiB2023-05-24 09:34:18
htmlcxx-0.87-r1.apk65.6 KiB2022-10-14 15:06:00
htmlcxx-dev-0.87-r1.apk20.6 KiB2022-10-14 15:06:00
htmldoc-1.9.18-r0.apk2.3 MiB2024-02-12 10:56:02
htmldoc-doc-1.9.18-r0.apk98.1 KiB2024-02-12 10:56:02
htslib-1.19-r0.apk397.5 KiB2023-12-12 17:44:28
htslib-dev-1.19-r0.apk115.3 KiB2023-12-12 17:44:28
htslib-doc-1.19-r0.apk22.7 KiB2023-12-12 17:44:28
htslib-static-1.19-r0.apk484.1 KiB2023-12-12 17:44:28
htslib-tools-1.19-r0.apk1.3 MiB2023-12-12 17:44:28
httpie-oauth-1.0.2-r9.apk3.4 KiB2024-04-15 23:59:58
httpie-oauth-pyc-1.0.2-r9.apk2.3 KiB2024-04-15 23:59:58
httrack-3.49.2-r5.apk748.5 KiB2023-05-16 12:37:10
httrack-doc-3.49.2-r5.apk528.0 KiB2023-05-16 12:37:10
hub-2.14.2-r22.apk2.5 MiB2024-04-06 20:38:18
hub-bash-completion-2.14.2-r22.apk4.6 KiB2024-04-06 20:38:18
hub-doc-2.14.2-r22.apk43.5 KiB2024-04-06 20:38:18
hub-fish-completion-2.14.2-r22.apk3.3 KiB2024-04-06 20:38:18
hub-zsh-completion-2.14.2-r22.apk3.7 KiB2024-04-06 20:38:18
hubble-cli-0.13.3-r0.apk18.5 MiB2024-05-06 21:35:40
hubble-cli-bash-completion-0.13.3-r0.apk5.1 KiB2024-05-06 21:35:40
hubble-cli-fish-completion-0.13.3-r0.apk4.3 KiB2024-05-06 21:35:40
hubble-cli-zsh-completion-0.13.3-r0.apk4.0 KiB2024-05-06 21:35:40
hunspell-ca-es-3.0.7-r0.apk731.3 KiB2022-12-04 12:25:13
hunspell-es-ar-2.7-r0.apk226.5 KiB2023-06-05 14:05:58
hunspell-es-ar-doc-2.7-r0.apk2.8 KiB2023-06-05 14:05:58
hurl-4.3.0-r0.apk2.6 MiB2024-05-04 14:39:37
hurl-doc-4.3.0-r0.apk8.1 KiB2024-05-04 14:39:37
hw-probe-1.6.5-r1.apk117.2 KiB2023-07-04 00:04:33
hwatch-0.3.11-r0.apk1007.4 KiB2024-03-20 05:17:51
hwatch-doc-0.3.11-r0.apk3.0 KiB2024-03-20 05:17:51
hwatch-fish-completion-0.3.11-r0.apk1.8 KiB2024-03-20 05:17:51
hwatch-zsh-completion-0.3.11-r0.apk1.9 KiB2024-03-20 05:17:51
hx-1.0.14-r0.apk14.6 KiB2023-11-04 10:26:15
hx-doc-1.0.14-r0.apk4.8 KiB2023-11-04 10:26:15
hy-0.28.0-r1.apk80.3 KiB2024-04-15 23:59:58
hy-pyc-0.28.0-r1.apk168.6 KiB2024-04-15 23:59:58
hyperlink-0.1.32-r0.apk543.6 KiB2024-04-02 23:42:24
hypnotix-3.5-r0.apk110.1 KiB2023-07-18 00:11:21
hypnotix-lang-3.5-r0.apk72.4 KiB2023-07-18 00:11:21
hyprcursor-0.1.7-r0.apk96.2 KiB2024-04-23 08:02:57
hyprcursor-dev-0.1.7-r0.apk4.8 KiB2024-04-23 08:02:57
hyprcursor-doc-0.1.7-r0.apk5.1 KiB2024-04-23 08:02:57
hyprcursor-util-0.1.7-r0.apk60.0 KiB2024-04-23 08:02:57
hyprland-0.39.1-r0.apk1.4 MiB2024-04-23 08:02:57
hyprland-dev-0.39.1-r0.apk772.7 KiB2024-04-23 08:02:57
hyprland-doc-0.39.1-r0.apk3.4 KiB2024-04-23 08:02:57
hyprland-wallpapers-0.39.1-r0.apk45.0 MiB2024-04-23 08:02:59
hyx-2021.06.09-r0.apk17.7 KiB2023-01-17 18:52:32
i2util-4.2.1-r1.apk17.0 KiB2022-10-25 00:07:46
i2util-dev-4.2.1-r1.apk47.3 KiB2022-10-25 00:07:46
i2util-doc-4.2.1-r1.apk4.7 KiB2022-10-25 00:07:46
i3status-rust-0.33.1-r0.apk3.5 MiB2024-04-08 20:23:02
i3status-rust-doc-0.33.1-r0.apk31.1 KiB2024-04-08 20:23:02
ibus-rime-1.5.0-r1.apk24.7 KiB2023-09-18 11:42:48
icestorm-0_git20231212-r0.apk16.5 MiB2024-01-12 04:58:06
icingaweb2-module-pnp-1.1.0-r1.apk8.9 KiB2020-07-22 21:37:17
icingaweb2-module-pnp-doc-1.1.0-r1.apk1.5 KiB2020-07-22 21:37:17
icmake-9.03.01-r0.apk127.1 KiB2023-10-06 16:08:28
icmake-doc-9.03.01-r0.apk127.4 KiB2023-10-06 16:08:28
idesk-1-r1.apk77.4 KiB2022-10-14 15:06:00
ideviceinstaller-1.1.1-r3.apk14.7 KiB2023-05-15 02:36:52
ideviceinstaller-doc-1.1.1-r3.apk2.5 KiB2023-05-15 02:36:52
idevicerestore-1.0.0-r3.apk83.8 KiB2023-05-27 09:51:25
idevicerestore-doc-1.0.0-r3.apk2.6 KiB2023-05-27 09:51:25
ifuse-1.1.4-r4.apk10.8 KiB2023-08-13 19:16:10
ifuse-doc-1.1.4-r4.apk2.4 KiB2023-08-13 19:16:10
igrep-1.2.0-r0.apk1.6 MiB2023-08-09 15:40:59
igrep-doc-1.2.0-r0.apk4.2 KiB2023-08-09 15:40:59
iipsrv-1.2-r0.apk130.2 KiB2023-10-05 05:24:44
iipsrv-apache-1.2-r0.apk1.6 KiB2023-10-05 05:24:44
iipsrv-doc-1.2-r0.apk6.4 KiB2023-10-05 05:24:44
iipsrv-lighttpd-1.2-r0.apk1.7 KiB2023-10-05 05:24:44
iipsrv-openrc-1.2-r0.apk2.0 KiB2023-10-05 05:24:44
iir1-1.9.4-r0.apk19.6 KiB2023-01-08 08:34:51
iir1-dev-1.9.4-r0.apk41.9 KiB2023-01-08 08:34:51
iir1-doc-1.9.4-r0.apk1.4 MiB2023-01-08 08:34:52
ijq-1.1.0-r0.apk1.4 MiB2024-04-15 11:46:26
ijq-doc-1.1.0-r0.apk3.5 KiB2024-04-15 11:46:26
imapfilter-2.8.2-r0.apk44.0 KiB2023-12-31 19:42:25
imapfilter-doc-2.8.2-r0.apk12.8 KiB2023-12-31 19:42:25
imediff-2.6-r1.apk41.6 KiB2024-04-15 23:59:58
imediff-doc-2.6-r1.apk6.5 KiB2024-04-15 23:59:58
imediff-pyc-2.6-r1.apk43.7 KiB2024-04-15 23:59:58
imgdiff-1.0.2-r18.apk946.8 KiB2024-04-06 20:38:20
imgdiff-doc-1.0.2-r18.apk2.3 KiB2024-04-06 20:38:20
imrsh-0_git20210320-r1.apk9.4 KiB2022-10-25 00:07:46
imrsh-dbg-0_git20210320-r1.apk18.2 KiB2022-10-25 00:07:46
initify-0_git20171210-r1.apk3.3 KiB2022-10-25 00:07:46
innernet-1.6.1-r0.apk2.7 MiB2024-02-23 19:46:43
innernet-bash-completion-1.6.1-r0.apk3.8 KiB2024-02-23 19:46:43
innernet-doc-1.6.1-r0.apk9.0 KiB2024-02-23 19:46:43
innernet-fish-completion-1.6.1-r0.apk4.5 KiB2024-02-23 19:46:43
innernet-openrc-1.6.1-r0.apk2.3 KiB2024-02-23 19:46:43
innernet-zsh-completion-1.6.1-r0.apk5.5 KiB2024-02-23 19:46:43
interception-tools-0.6.8-r2.apk112.8 KiB2024-04-22 19:32:53
interception-tools-openrc-0.6.8-r2.apk1.7 KiB2024-04-22 19:32:53
ip2location-8.6.1-r0.apk25.4 KiB2023-06-06 19:00:02
ip2location-dev-8.6.1-r0.apk12.1 KiB2023-06-06 19:00:02
ip2location-doc-8.6.1-r0.apk2.7 KiB2023-06-06 19:00:02
iprange-1.0.4-r1.apk20.7 KiB2022-10-25 00:07:46
iprange-doc-1.0.4-r1.apk4.5 KiB2022-10-25 00:07:46
irccd-4.0.3-r0.apk268.1 KiB2023-07-30 16:34:12
irccd-dev-4.0.3-r0.apk9.6 KiB2023-07-30 16:34:12
irccd-doc-4.0.3-r0.apk80.4 KiB2023-07-30 16:34:12
irccd-openrc-4.0.3-r0.apk1.8 KiB2023-07-30 16:34:12
ircd-hybrid-8.2.43-r0.apk304.9 KiB2023-06-08 20:45:06
ircd-hybrid-doc-8.2.43-r0.apk3.7 KiB2023-06-08 20:45:06
isoinfo-0_git20131217-r1.apk6.8 KiB2022-10-25 00:07:46
isomd5sum-1.2.3-r2.apk22.2 KiB2022-11-24 21:50:30
isomd5sum-doc-1.2.3-r2.apk3.0 KiB2022-11-24 21:50:30
itd-1.1.0-r5.apk8.8 MiB2024-04-06 20:38:20
j4-dmenu-desktop-2.18-r1.apk48.0 KiB2022-08-06 12:38:45
jack_capture-0.9.73_git20210429-r2.apk33.9 KiB2022-07-01 02:19:11
jackal-0.64.0-r7.apk11.3 MiB2024-04-06 20:38:22
jackal-openrc-0.64.0-r7.apk1.8 KiB2024-04-06 20:38:22
jackdaw-0.3.1-r1.apk2.0 MiB2024-04-15 23:59:58
jackdaw-pyc-0.3.1-r1.apk370.3 KiB2024-04-15 23:59:58
jackline-0.1.0-r3.apk3.7 MiB2024-03-23 20:58:09
jalv-1.6.8-r1.apk52.1 KiB2023-07-30 16:34:12
jalv-doc-1.6.8-r1.apk3.2 KiB2023-07-30 16:34:12
jalv-gtk-1.6.8-r1.apk34.6 KiB2023-07-30 16:34:12
jami-daemon-4.0.0_git20230925-r1.apk4.6 MiB2023-12-13 20:26:01
jami-daemon-dev-4.0.0_git20230925-r1.apk22.3 KiB2023-12-13 20:26:01
jami-qt-20230925-r0.apk13.7 MiB2023-10-14 21:15:49
jami-qt-doc-20230925-r0.apk2.7 KiB2023-10-14 21:15:49
jami-qt-lang-20230925-r0.apk2.3 MiB2023-10-14 21:15:49
jaq-1.3.0-r0.apk1.2 MiB2024-02-05 06:04:04
java-gdcm-3.0.23-r2.apk643.4 KiB2024-04-16 00:54:45
java-jtharness-6.0_p12-r0.apk4.0 MiB2022-10-05 19:10:49
java-jtharness-doc-6.0_p12-r0.apk11.6 KiB2022-10-05 19:10:49
java-jtharness-examples-6.0_p12-r0.apk218.9 KiB2022-10-05 19:10:49
jbigkit-2.1-r2.apk62.9 KiB2022-10-25 00:07:46
jbigkit-dev-2.1-r2.apk29.7 KiB2022-10-25 00:07:46
jbigkit-doc-2.1-r2.apk7.3 KiB2022-10-25 00:07:46
jdebp-redo-1.4-r1.apk104.2 KiB2022-06-11 17:13:20
jdebp-redo-doc-1.4-r1.apk12.1 KiB2022-06-11 17:13:20
jdupes-1.27.3-r0.apk28.9 KiB2023-08-27 20:25:02
jdupes-doc-1.27.3-r0.apk8.0 KiB2023-08-27 20:25:02
jedi-language-server-0.41.4-r0.apk26.5 KiB2024-04-17 11:29:19
jedi-language-server-pyc-0.41.4-r0.apk37.4 KiB2024-04-17 11:29:19
jfrog-cli-2.45.0-r4.apk9.1 MiB2024-04-06 20:38:23
jhead-3.08-r0.apk32.1 KiB2023-07-23 13:58:15
jhead-doc-3.08-r0.apk7.9 KiB2023-07-23 13:58:15
jrsonnet-cli-0.4.2-r1.apk570.9 KiB2023-05-24 09:34:19
jshn-20230523-r0.apk9.1 KiB2023-08-06 19:59:18
jsmn-1.1.0-r2.apk4.7 KiB2024-01-19 17:03:54
json2tsv-1.1-r0.apk7.2 KiB2023-07-22 14:35:41
json2tsv-doc-1.1-r0.apk5.3 KiB2023-07-22 14:35:41
json2tsv-jaq-1.1-r0.apk1.9 KiB2023-07-22 14:35:41
json2tsv-jaq-doc-1.1-r0.apk2.4 KiB2023-07-22 14:35:41
jsonnet-bundler-0.5.1-r12.apk3.0 MiB2024-04-06 20:38:23
jsonnet-language-server-0.13.1-r2.apk4.0 MiB2024-04-06 20:38:23
junit2html-0.2.0-r3.apk13.5 KiB2024-04-15 23:59:58
junit2html-pyc-0.2.0-r3.apk24.3 KiB2024-04-15 23:59:58
jwt-cli-6.0.0-r0.apk936.4 KiB2023-07-16 04:35:35
k2-0_git20220807-r1.apk98.3 KiB2023-08-01 13:01:19
k3sup-0.13.5-r2.apk2.5 MiB2024-04-06 20:38:23
k3sup-bash-completion-0.13.5-r2.apk5.1 KiB2024-04-06 20:38:23
k3sup-fish-completion-0.13.5-r2.apk4.3 KiB2024-04-06 20:38:23
k3sup-zsh-completion-0.13.5-r2.apk4.1 KiB2024-04-06 20:38:23
kabmat-2.7.0-r0.apk61.4 KiB2023-05-07 06:48:19
kabmat-doc-2.7.0-r0.apk3.5 KiB2023-05-07 06:48:19
kak-lsp-15.0.1-r0.apk2.1 MiB2024-01-03 18:14:54
kanister-tools-0.107.0-r0.apk61.6 MiB2024-05-04 11:25:56
kanister-tools-bash-completion-0.107.0-r0.apk5.6 KiB2024-05-04 11:25:56
kanister-tools-fish-completion-0.107.0-r0.apk4.9 KiB2024-05-04 11:25:56
kanister-tools-zsh-completion-0.107.0-r0.apk4.4 KiB2024-05-04 11:25:56
kannel-1.5.0-r11.apk6.1 MiB2023-04-30 01:19:53
kannel-dev-1.5.0-r11.apk888.0 KiB2023-04-30 01:19:53
kannel-doc-1.5.0-r11.apk6.2 KiB2023-04-30 01:19:53
kapow-0.7.1-r5.apk3.3 MiB2024-04-06 20:38:28
katana-1.1.0-r1.apk13.0 MiB2024-04-06 20:38:29
katarakt-0.2-r0.apk96.4 KiB2022-02-02 03:37:41
kbs2-0.7.2-r3.apk1.0 MiB2023-07-30 16:34:12
kbs2-bash-completion-0.7.2-r3.apk3.1 KiB2023-07-30 16:34:12
kbs2-fish-completion-0.7.2-r3.apk3.2 KiB2023-07-30 16:34:12
kbs2-zsh-completion-0.7.2-r3.apk4.0 KiB2023-07-30 16:34:12
kdiskmark-3.1.4-r1.apk171.6 KiB2023-10-17 16:47:34
kdiskmark-lang-3.1.4-r1.apk26.7 KiB2023-10-17 16:47:34
keepassxc-browser-1.8.9-r0.apk876.5 KiB2023-11-06 21:56:47
kerberoast-0.2.0-r1.apk9.6 KiB2024-04-15 23:59:58
kerberoast-pyc-0.2.0-r1.apk15.2 KiB2024-04-15 23:59:58
keybase-client-6.2.8-r2.apk18.4 MiB2024-04-06 20:38:31
keystone-0.9.2-r6.apk1.4 MiB2024-04-15 23:59:58
keystone-dev-0.9.2-r6.apk7.3 KiB2024-04-15 23:59:58
keystone-python-0.9.2-r6.apk1.7 MiB2024-04-15 23:59:58
keystone-python-pyc-0.9.2-r6.apk9.7 KiB2024-04-15 23:59:58
kfc-0.1.4-r0.apk57.5 KiB2023-06-03 03:00:46
khinsider-2.0.7-r12.apk3.2 MiB2024-04-06 20:38:31
khronos-4.0.1-r0.apk55.6 KiB2023-10-13 15:32:46
khronos-lang-4.0.1-r0.apk25.7 KiB2023-10-13 15:32:46
kimchi-3.0.0-r7.apk535.9 KiB2024-04-15 23:59:58
kimchi-lang-3.0.0-r7.apk172.3 KiB2024-04-15 23:59:58
kimchi-pyc-3.0.0-r7.apk378.0 KiB2024-04-15 23:59:58
kind-0.22.0-r2.apk2.5 MiB2024-04-06 20:38:31
kind-bash-completion-0.22.0-r2.apk5.8 KiB2024-04-06 20:38:31
kind-fish-completion-0.22.0-r2.apk3.9 KiB2024-04-06 20:38:31
kind-zsh-completion-0.22.0-r2.apk3.7 KiB2024-04-06 20:38:31
kine-0.10.1-r4.apk7.8 MiB2024-04-06 20:38:32
kine-doc-0.10.1-r4.apk5.2 KiB2024-04-06 20:38:32
kirc-0.3.2-r0.apk10.7 KiB2023-05-23 12:48:27
kirc-doc-0.3.2-r0.apk2.6 KiB2023-05-23 12:48:27
kismet-0.202307.1-r2.apk11.8 MiB2023-11-15 18:10:14
kismet-linux-bluetooth-0.202307.1-r2.apk45.5 KiB2023-11-15 18:10:14
kismet-linux-wifi-0.202307.1-r2.apk64.5 KiB2023-11-15 18:10:14
kismet-logtools-0.202307.1-r2.apk1.2 MiB2023-11-15 18:10:14
kismet-nrf-51822-0.202307.1-r2.apk42.0 KiB2023-11-15 18:10:14
kismet-nxp-kw41z-0.202307.1-r2.apk43.5 KiB2023-11-15 18:10:14
kjv-0_git20221103-r0.apk1.5 MiB2023-09-25 04:50:30
kkc-package-data-0.3.5-r3.apk11.2 KiB2024-04-28 06:12:39
kmscon-9.0.0-r0.apk800.0 KiB2022-10-05 00:06:37
kmscon-doc-9.0.0-r0.apk7.7 KiB2022-10-05 00:06:37
knative-client-1.14.0-r0.apk21.9 MiB2024-05-04 11:21:07
knative-client-bash-completion-1.14.0-r0.apk10.0 KiB2024-05-04 11:21:07
knative-client-zsh-completion-1.14.0-r0.apk4.0 KiB2024-05-04 11:21:07
knxd-0.14.59-r0.apk421.6 KiB2023-09-21 22:53:47
knxd-dev-0.14.59-r0.apk24.4 KiB2023-09-21 22:53:47
ko-0.15.2-r1.apk8.9 MiB2024-04-06 20:38:34
ko-bash-completion-0.15.2-r1.apk5.1 KiB2024-04-06 20:38:34
ko-fish-completion-0.15.2-r1.apk4.3 KiB2024-04-06 20:38:34
ko-zsh-completion-0.15.2-r1.apk4.0 KiB2024-04-06 20:38:34
komikku-1.39.0-r1.apk834.6 KiB2024-04-18 15:00:54
komikku-lang-1.39.0-r1.apk156.3 KiB2024-04-18 15:00:54
komikku-pyc-1.39.0-r1.apk567.5 KiB2024-04-18 15:00:54
kompose-1.31.2-r2.apk7.2 MiB2024-04-06 20:38:35
kompose-bash-completion-1.31.2-r2.apk5.6 KiB2024-04-06 20:38:35
kompose-fish-completion-1.31.2-r2.apk4.4 KiB2024-04-06 20:38:35
kompose-zsh-completion-1.31.2-r2.apk6.8 KiB2024-04-06 20:38:35
kondo-0.8-r0.apk686.1 KiB2023-12-20 22:45:58
kondo-bash-completion-0.8-r0.apk2.1 KiB2023-12-20 22:45:58
kondo-fish-completion-0.8-r0.apk2.1 KiB2023-12-20 22:45:58
kondo-zsh-completion-0.8-r0.apk2.5 KiB2023-12-20 22:45:58
krita-plugin-gmic-3.2.4.1-r3.apk2.8 MiB2024-03-23 13:04:42
kube-no-trouble-0.7.2-r1.apk13.8 MiB2024-04-06 20:38:36
kubectl-cert-manager-1.14.4-r2.apk22.7 MiB2024-04-06 20:38:38
kubectl-krew-0.4.4-r4.apk4.3 MiB2024-04-06 20:38:38
kubectl-oidc_login-1.28.0-r5.apk5.0 MiB2024-04-06 20:38:39
kubeone-1.7.4-r0.apk24.2 MiB2024-05-04 11:21:08
kubeone-bash-completion-1.7.4-r0.apk6.6 KiB2024-05-04 11:21:08
kubeone-doc-1.7.4-r0.apk20.0 KiB2024-05-04 11:21:08
kubeone-zsh-completion-1.7.4-r0.apk4.0 KiB2024-05-04 11:21:08
kubepug-1.7.1-r2.apk16.7 MiB2024-04-06 20:38:41
kubepug-bash-completion-1.7.1-r2.apk5.1 KiB2024-04-06 20:38:41
kubepug-fish-completion-1.7.1-r2.apk4.4 KiB2024-04-06 20:38:41
kubepug-zsh-completion-1.7.1-r2.apk4.1 KiB2024-04-06 20:38:41
kubesplit-0.3.3-r1.apk12.7 KiB2024-04-15 23:59:58
kubesplit-pyc-0.3.3-r1.apk13.0 KiB2024-04-15 23:59:58
laze-0.1.21-r0.apk958.5 KiB2024-02-13 22:23:19
laze-bash-completion-0.1.21-r0.apk2.7 KiB2024-02-13 22:23:19
laze-doc-0.1.21-r0.apk3.4 KiB2024-02-13 22:23:19
laze-fish-completion-0.1.21-r0.apk2.6 KiB2024-02-13 22:23:19
laze-zsh-completion-0.1.21-r0.apk3.0 KiB2024-02-13 22:23:19
lazymc-0.2.11-r0.apk1.1 MiB2024-03-24 14:43:13
lazymc-openrc-0.2.11-r0.apk2.0 KiB2024-03-24 14:43:13
lcov-2.0-r2.apk205.3 KiB2024-01-15 09:45:22
lcov-doc-2.0-r2.apk51.8 KiB2024-01-15 09:45:22
ldapdomaindump-0.9.4-r1.apk18.3 KiB2024-04-15 23:59:58
ldapdomaindump-pyc-0.9.4-r1.apk30.7 KiB2024-04-15 23:59:58
ledmon-0.97-r1.apk74.4 KiB2023-12-18 10:45:10
ledmon-doc-0.97-r1.apk13.2 KiB2023-12-18 10:45:10
legume-1.4.2-r1.apk1.4 MiB2024-04-06 20:38:42
legume-doc-1.4.2-r1.apk12.4 KiB2024-04-06 20:38:42
lemonbar-1.4-r1.apk14.8 KiB2022-10-25 00:07:47
lemonbar-doc-1.4-r1.apk5.8 KiB2022-10-25 00:07:47
leptosfmt-0.1.18-r0.apk880.6 KiB2024-01-19 15:24:10
leptosfmt-doc-0.1.18-r0.apk6.2 KiB2024-01-19 15:24:10
level-zero-1.16.15-r0.apk204.8 KiB2024-04-27 21:48:06
level-zero-dev-1.16.15-r0.apk303.5 KiB2024-04-27 21:48:06
levmar-dev-2.6-r0.apk48.3 KiB2022-04-06 12:59:20
lfm-3.1-r4.apk88.1 KiB2024-04-15 23:59:58
lfm-doc-3.1-r4.apk2.8 KiB2024-04-15 23:59:58
lfm-pyc-3.1-r4.apk133.7 KiB2024-04-15 23:59:58
lgogdownloader-3.12-r2.apk377.3 KiB2024-04-22 19:32:53
lgogdownloader-doc-3.12-r2.apk8.2 KiB2024-04-22 19:32:53
libabigail-2.3-r0.apk924.8 KiB2023-05-03 20:59:42
libabigail-bash-completion-2.3-r0.apk3.0 KiB2023-05-03 20:59:42
libabigail-dev-2.3-r0.apk1.3 MiB2023-05-03 20:59:42
libabigail-doc-2.3-r0.apk61.2 KiB2023-05-03 20:59:42
libabigail-tools-2.3-r0.apk113.3 KiB2023-05-03 20:59:42
libantic-0.2.5-r0.apk49.4 KiB2022-11-02 02:44:34
libantic-dev-0.2.5-r0.apk6.3 KiB2022-11-02 02:44:34
libantlr3c-3.4-r3.apk54.6 KiB2023-05-16 12:37:19
libantlr3c-dev-3.4-r3.apk58.4 KiB2023-05-16 12:37:19
libarb-2.23.0-r2.apk1.7 MiB2023-08-01 13:01:19
libarb-dev-2.23.0-r2.apk53.9 KiB2023-08-01 13:01:19
libarb-static-2.23.0-r2.apk2.1 MiB2023-08-01 13:01:20
libaudec-0.3.4-r3.apk30.1 KiB2023-07-30 16:34:12
libaudec-dev-0.3.4-r3.apk4.3 KiB2023-07-30 16:34:12
libaudec-static-0.3.4-r3.apk32.9 KiB2023-07-30 16:34:12
libaudec-tools-0.3.4-r3.apk30.4 KiB2023-07-30 16:34:12
libbamf-0.5.6-r1.apk151.5 KiB2023-10-20 09:43:33
libbamf-dev-0.5.6-r1.apk6.4 KiB2023-10-20 09:43:33
libbamf-doc-0.5.6-r1.apk31.1 KiB2023-10-20 09:43:33
libblobmsg-20230523-r0.apk10.9 KiB2023-08-06 19:59:18
libbraiding-1.2-r2.apk54.8 KiB2023-08-01 13:01:20
libbraiding-dev-1.2-r2.apk15.0 KiB2023-08-01 13:01:20
libbsoncxx-3.8.0-r0.apk47.9 KiB2023-08-19 06:41:08
libbsoncxx-dev-3.8.0-r0.apk38.7 KiB2023-08-19 06:41:08
libcli-1.10.7-r0.apk32.1 KiB2021-03-22 14:35:38
libcork-0.15.0-r7.apk36.4 KiB2023-12-07 15:25:36
libcork-dev-0.15.0-r7.apk30.0 KiB2023-12-07 15:25:36
libcork-tools-0.15.0-r7.apk4.6 KiB2023-12-07 15:25:36
libcorkipset-1.1.1-r4.apk14.1 KiB2023-10-30 10:42:41
libcorkipset-dev-1.1.1-r4.apk8.1 KiB2023-10-30 10:42:41
libcorkipset-tools-1.1.1-r4.apk11.5 KiB2023-10-30 10:42:41
libcotp-2.0.2-r0.apk8.5 KiB2023-10-28 09:41:14
libcotp-dev-2.0.2-r0.apk2.5 KiB2023-10-28 09:41:14
libcryptmount-2.20-r0.apk10.8 KiB2023-12-23 02:33:15
libcrypto1.1-1.1.1w-r0.apk1.0 MiB2023-09-12 15:14:50
libctl-4.5.1-r1.apk93.3 KiB2023-05-16 12:37:21
libctl-dev-4.5.1-r1.apk38.6 KiB2023-05-16 12:37:21
libctl-doc-4.5.1-r1.apk3.0 KiB2023-05-16 12:37:21
libcyaml-1.4.1-r2.apk22.8 KiB2024-01-11 22:42:50
libcyaml-dev-1.4.1-r2.apk12.9 KiB2024-01-11 22:42:50
libcyaml-doc-1.4.1-r2.apk8.7 KiB2024-01-11 22:42:50
libcyaml-static-1.4.1-r2.apk26.7 KiB2024-01-11 22:42:50
libdatrie-0.2.13-r0.apk7.8 KiB2022-01-27 00:30:53
libdatrie-dev-0.2.13-r0.apk21.6 KiB2022-01-27 00:30:53
libdatrie-doc-0.2.13-r0.apk3.5 KiB2022-01-27 00:30:53
libdatrie-libs-0.2.13-r0.apk15.1 KiB2022-01-27 00:30:53
libdcmtk-3.6.8-r0.apk6.7 MiB2024-01-13 23:02:49
libdng-0.1.1-r0.apk10.9 KiB2024-01-21 22:36:58
libdng-dev-0.1.1-r0.apk3.2 KiB2024-01-21 22:36:58
libdng-doc-0.1.1-r0.apk4.3 KiB2024-01-21 22:36:58
libdng-utils-0.1.1-r0.apk6.2 KiB2024-01-21 22:36:58
libeantic-2.0.2-r1.apk84.6 KiB2024-04-22 19:32:53
libeantic-dev-2.0.2-r1.apk17.6 KiB2024-04-22 19:32:53
libecap-1.0.1-r1.apk13.7 KiB2023-05-16 12:37:21
libecap-dev-1.0.1-r1.apk11.4 KiB2023-05-16 12:37:21
libecap-static-1.0.1-r1.apk17.2 KiB2023-05-16 12:37:21
libecm-7.0.5-r1.apk240.4 KiB2023-05-16 12:37:21
libemf2svg-1.1.0-r2.apk176.6 KiB2022-10-25 00:07:47
libemf2svg-utils-1.1.0-r2.apk19.0 KiB2022-10-25 00:07:47
liberasurecode-1.6.3-r1.apk40.4 KiB2023-05-16 12:37:21
liberasurecode-dev-1.6.3-r1.apk18.3 KiB2023-05-16 12:37:21
libettercap-0.8.3.1-r2.apk223.0 KiB2022-10-18 03:58:44
libexmdbpp-1.11-r0.apk72.8 KiB2024-04-26 06:36:52
libexmdbpp-dev-1.11-r0.apk24.0 KiB2024-04-26 06:36:52
libfishsound-1.0.0-r1.apk10.4 KiB2020-08-18 17:04:04
libfishsound-dev-1.0.0-r1.apk57.1 KiB2020-08-18 17:04:04
libfishsound-doc-1.0.0-r1.apk75.3 KiB2020-08-18 17:04:04
libfoma-0.10.0_git20221230-r0.apk105.1 KiB2023-06-13 17:28:18
libfort-0.4.2-r0.apk31.3 KiB2021-10-04 23:21:06
libfort-dev-0.4.2-r0.apk17.4 KiB2021-10-04 23:21:06
libfossil-0.20240211.1220-r0.apk1.1 MiB2024-02-12 10:58:11
libfossil-apps-0.20240211.1220-r0.apk102.5 KiB2024-02-12 10:58:11
libfossil-dev-0.20240211.1220-r0.apk1.5 MiB2024-02-12 10:58:12
libfyaml-0.9-r0.apk292.9 KiB2023-12-21 22:49:19
libfyaml-dev-0.9-r0.apk42.4 KiB2023-12-21 22:49:19
libfyaml-doc-0.9-r0.apk7.4 KiB2023-12-21 22:49:19
libgdcm-3.0.23-r2.apk2.6 MiB2024-04-16 00:54:45
libgivaro-4.2.0-r2.apk82.7 KiB2023-08-01 13:01:20
libgivaro-dev-4.2.0-r2.apk244.4 KiB2023-08-01 13:01:20
libgivaro-static-4.2.0-r2.apk96.6 KiB2023-08-01 13:01:20
libgrapheme-1-r0.apk9.9 KiB2022-01-28 23:10:00
libgrapheme-dev-1-r0.apk10.0 KiB2022-01-28 23:10:00
libgrapheme-doc-1-r0.apk8.0 KiB2022-01-28 23:10:00
libguestfs-1.52.0-r1.apk318.2 KiB2024-04-15 23:59:58
libguestfs-dev-1.52.0-r1.apk29.3 KiB2024-04-15 23:59:58
libguestfs-doc-1.52.0-r1.apk559.8 KiB2024-04-15 23:59:58
libguestfs-static-1.52.0-r1.apk446.7 KiB2024-04-15 23:59:58
libhomfly-1.02_p6-r1.apk16.3 KiB2024-03-29 11:26:49
libhomfly-dev-1.02_p6-r1.apk20.2 KiB2024-03-29 11:26:49
libhwpwm-0.4.4-r0.apk6.1 KiB2023-09-28 18:50:14
libhwpwm-dev-0.4.4-r0.apk5.9 KiB2023-09-28 18:50:14
libhwpwm-doc-0.4.4-r0.apk13.0 KiB2023-09-28 18:50:14
libhx-4.21-r0.apk39.5 KiB2023-12-23 02:33:15
libhx-dev-4.21-r0.apk14.8 KiB2023-12-23 02:33:15
libibmad-1.3.13-r2.apk30.5 KiB2023-05-16 12:37:21
libibmad-dev-1.3.13-r2.apk13.0 KiB2023-05-16 12:37:21
libibumad-1.3.10.2-r3.apk15.0 KiB2023-05-16 12:37:21
libibumad-dev-1.3.10.2-r3.apk7.6 KiB2023-05-16 12:37:21
libibumad-doc-1.3.10.2-r3.apk23.2 KiB2023-05-16 12:37:21
libideviceactivation-1.1.1-r4.apk17.7 KiB2023-05-15 02:36:52
libideviceactivation-dev-1.1.1-r4.apk3.4 KiB2023-05-15 02:36:52
libideviceactivation-doc-1.1.1-r4.apk2.2 KiB2023-05-15 02:36:52
libigraph-0.10.11-r0.apk1.3 MiB2024-04-12 00:01:48
libigraph-dev-0.10.11-r0.apk91.4 KiB2024-04-12 00:01:48
libiio-0.25-r1.apk53.4 KiB2024-04-15 23:59:58
libiio-dev-0.25-r1.apk13.4 KiB2024-04-15 23:59:58
libiio-doc-0.25-r1.apk18.0 KiB2024-04-15 23:59:58
libiio-pyc-0.25-r1.apk21.0 KiB2024-04-15 23:59:58
libiio-tools-0.25-r1.apk73.6 KiB2024-04-15 23:59:58
libime-1.1.6-r1.apk35.3 MiB2024-04-22 19:32:55
libime-dev-1.1.6-r1.apk29.9 KiB2024-04-22 19:32:55
libiml-1.0.5-r3.apk81.1 KiB2023-08-01 13:01:20
libiml-dev-1.0.5-r3.apk3.9 KiB2023-08-01 13:01:20
libiml-static-1.0.5-r3.apk82.3 KiB2023-08-01 13:01:20
libimobiledevice-glue-1.0.0-r1.apk16.3 KiB2023-07-30 16:34:13
libimobiledevice-glue-dev-1.0.0-r1.apk5.9 KiB2023-07-30 16:34:13
libirecovery-1.1.0-r0.apk20.1 KiB2023-05-27 09:51:25
libirecovery-dev-1.1.0-r0.apk4.2 KiB2023-05-27 09:51:25
libirecovery-progs-1.1.0-r0.apk8.4 KiB2023-05-27 09:51:25
libiscsi-1.19.0-r2.apk60.0 KiB2023-05-16 12:37:21
libiscsi-dev-1.19.0-r2.apk20.4 KiB2023-05-16 12:37:21
libiscsi-doc-1.19.0-r2.apk9.3 KiB2023-05-16 12:37:21
libiscsi-static-1.19.0-r2.apk71.0 KiB2023-05-16 12:37:21
libiscsi-utils-1.19.0-r2.apk87.9 KiB2023-05-16 12:37:21
libjodycode-3.1-r1.apk7.6 KiB2023-07-30 16:34:13
libjodycode-dev-3.1-r1.apk4.2 KiB2023-07-30 16:34:13
libjodycode-doc-3.1-r1.apk3.5 KiB2023-07-30 16:34:13
libkkc-0.3.5-r3.apk237.3 KiB2024-04-28 06:12:39
libkkc-dev-0.3.5-r3.apk37.2 KiB2024-04-28 06:12:39
libkkc-lang-0.3.5-r3.apk4.1 KiB2024-04-28 06:12:39
liblastfm-qt-1.1.10_git20190823-r2.apk173.5 KiB2023-05-05 05:50:58
liblastfm-qt-dev-1.1.10_git20190823-r2.apk19.7 KiB2023-05-05 05:50:58
libm17n-core-1.8.4-r1.apk56.7 KiB2023-09-19 21:48:39
libm17n-flt-1.8.4-r1.apk19.2 KiB2023-09-19 21:48:39
libm4ri-20200125-r4.apk154.8 KiB2023-08-01 13:01:20
libm4ri-dev-20200125-r4.apk33.3 KiB2023-08-01 13:01:20
libm4ri-static-20200125-r4.apk175.2 KiB2023-08-01 13:01:20
libm4rie-20200125-r3.apk250.1 KiB2023-08-01 13:01:20
libm4rie-dev-20200125-r3.apk25.1 KiB2023-08-01 13:01:20
libm4rie-static-20200125-r3.apk329.1 KiB2023-08-01 13:01:20
libmdbx-0.11.8-r0.apk899.3 KiB2022-07-02 04:11:12
libmdbx-dbg-0.11.8-r0.apk2.6 MiB2022-07-02 04:11:12
libmdbx-dev-0.11.8-r0.apk93.2 KiB2022-07-02 04:11:12
libmdbx-doc-0.11.8-r0.apk8.9 KiB2022-07-02 04:11:12
libmdf-1.0.27-r0.apk35.1 KiB2023-05-27 09:52:11
libmdf-dev-1.0.27-r0.apk13.6 KiB2023-05-27 09:52:11
libmedc-4.1.1-r3.apk556.5 KiB2024-05-04 14:39:37
libmedc-dev-4.1.1-r3.apk37.5 KiB2024-05-04 14:39:37
libmedc-doc-4.1.1-r3.apk40.5 MiB2024-05-04 14:39:37
libmedc-python-4.1.1-r3.apk1.8 MiB2024-05-04 14:39:37
libmedc-python-pyc-4.1.1-r3.apk475.5 KiB2024-05-04 14:39:37
libmhash-0.9.9.9-r3.apk97.9 KiB2022-10-14 15:06:00
libmhash-dev-0.9.9.9-r3.apk109.7 KiB2022-10-14 15:06:00
libmhash-doc-0.9.9.9-r3.apk8.2 KiB2022-10-14 15:06:00
libmpfi-1.5.4-r2.apk40.1 KiB2023-08-01 13:01:20
libmpfi-dev-1.5.4-r2.apk5.4 KiB2023-08-01 13:01:20
libmpfi-doc-1.5.4-r2.apk18.7 KiB2023-08-01 13:01:20
libmpfi-static-1.5.4-r2.apk55.5 KiB2023-08-01 13:01:20
libmrss-0.19.2-r1.apk21.8 KiB2021-07-18 09:15:03
libmrss-dev-0.19.2-r1.apk33.1 KiB2021-07-18 09:15:03
libmustache-0.5.0-r1.apk88.7 KiB2022-10-25 00:07:47
libmygpo-qt-1.1.0-r1.apk91.4 KiB2023-05-05 05:50:58
libmygpo-qt-dev-1.1.0-r1.apk11.8 KiB2023-05-05 05:50:58
libmysofa-1.3.2-r0.apk27.9 KiB2023-11-04 00:03:14
libmysofa-dev-1.3.2-r0.apk7.0 KiB2023-11-04 00:03:14
libmysofa-tools-1.3.2-r0.apk1.1 MiB2023-11-04 00:03:14
libnest2d-0.4-r6.apk1.4 KiB2024-04-22 19:32:55
libnest2d-dev-0.4-r6.apk71.2 KiB2024-04-22 19:32:55
libnfc-1.8.0-r1.apk55.2 KiB2023-05-16 12:37:21
libnfc-dev-1.8.0-r1.apk7.9 KiB2023-05-16 12:37:21
libnfc-doc-1.8.0-r1.apk22.0 KiB2023-05-16 12:37:21
libnfc-tools-1.8.0-r1.apk58.1 KiB2023-05-16 12:37:21
libntl-11.5.1-r3.apk1.2 MiB2023-08-01 13:01:20
libntl-dev-11.5.1-r3.apk158.6 KiB2023-08-01 13:01:20
libntl-doc-11.5.1-r3.apk373.5 KiB2023-08-01 13:01:20
libntl-static-11.5.1-r3.apk1.6 MiB2023-08-01 13:01:20
libnxml-0.18.3-r0.apk21.8 KiB2020-07-22 16:34:21
libnxml-dev-0.18.3-r0.apk29.5 KiB2020-07-22 16:34:21
libofx-0.10.9-r1.apk68.4 KiB2023-08-26 11:28:54
libofx-dev-0.10.9-r1.apk19.6 KiB2023-08-26 11:28:54
libofx-tools-0.10.9-r1.apk105.7 KiB2023-08-26 11:28:54
liboggz-1.1.1-r2.apk101.2 KiB2022-10-25 00:07:47
liboggz-dev-1.1.1-r2.apk162.3 KiB2022-10-25 00:07:47
liboggz-doc-1.1.1-r2.apk134.2 KiB2022-10-25 00:07:47
libopensmtpd-0.7-r0.apk21.0 KiB2022-02-19 01:19:15
libopensmtpd-dev-0.7-r0.apk3.1 KiB2022-02-19 01:19:15
libopensmtpd-doc-0.7-r0.apk5.0 KiB2022-02-19 01:19:15
libppl-1.2-r1.apk546.5 KiB2023-05-16 12:37:22
libppl_c-1.2-r1.apk1.7 MiB2023-05-16 12:37:22
libqb-2.0.8-r0.apk65.5 KiB2023-08-03 16:25:49
libqb-dev-2.0.8-r0.apk28.7 KiB2023-08-03 16:25:49
libqb-doc-2.0.8-r0.apk156.1 KiB2023-08-03 16:25:49
libqb-tools-2.0.8-r0.apk3.4 KiB2023-08-03 16:25:49
libqd-2.3.24-r0.apk176.9 KiB2024-01-08 23:12:46
libqd-dev-2.3.24-r0.apk57.8 KiB2024-01-08 23:12:46
libqd-doc-2.3.24-r0.apk182.5 KiB2024-01-08 23:12:46
libqd-static-2.3.24-r0.apk251.3 KiB2024-01-08 23:12:46
libqofono-0.122-r0.apk1.5 KiB2023-12-24 16:57:48
libqofono-dev-0.122-r0.apk46.6 KiB2023-12-24 16:57:48
libqofono-qt5-0.122-r0.apk302.0 KiB2023-12-24 16:57:48
libqofono-qt6-0.122-r0.apk440.4 KiB2023-12-24 16:57:48
libqtdbusmock-0.9.1-r0.apk74.3 KiB2024-01-28 12:11:31
libqtdbustest-0.3.2-r0.apk34.1 KiB2023-11-04 16:57:17
libreoffice-voikko-5.0_git20200127-r0.apk45.4 KiB2023-06-13 17:28:18
librespot-0.4.2-r4.apk1.3 MiB2023-08-01 04:58:17
librespot-openrc-0.4.2-r4.apk1.9 KiB2023-08-01 04:58:17
libretro-atari800-0_git20220327-r0.apk261.3 KiB2022-04-21 09:48:38
libretro-beetle-pce-fast-0_git20220205-r0.apk363.3 KiB2022-04-21 09:48:38
libretro-beetle-pcfx-0_git20220409-r0.apk326.2 KiB2022-04-21 09:48:38
libretro-beetle-saturn-0_git20220417-r0.apk1.6 MiB2022-04-21 09:48:38
libretro-beetle-supergrafx-0_git20220218-r0.apk375.5 KiB2022-04-21 09:48:38
libretro-bluemsx-0_git20220213-r0.apk682.0 KiB2022-04-21 09:48:38
libretro-cannonball-0_git20220309-r6.apk248.1 KiB2024-04-22 19:32:55
libretro-cap32-0_git20220419-r0.apk303.3 KiB2022-04-21 09:48:38
libretro-crocods-0_git20210314-r1.apk281.2 KiB2021-06-03 14:47:08
libretro-daphne-0_git20210108-r1.apk593.0 KiB2021-06-03 14:47:08
libretro-dinothawr-0_git20220401-r0.apk144.6 KiB2022-04-21 09:48:38
libretro-fbneo-0_git20220416-r0.apk10.4 MiB2022-04-21 09:48:38
libretro-freeintv-0_git20220319-r0.apk36.9 KiB2022-04-21 09:48:38
libretro-frodo-0_git20221221-r0.apk172.2 KiB2022-04-21 09:48:38
libretro-fuse-0_git20220417-r0.apk902.9 KiB2022-04-21 09:48:38
libretro-genesis-plus-gx-0_git20230503-r0.apk717.2 KiB2023-05-05 07:21:02
libretro-gme-0_git20220410-r0.apk206.4 KiB2022-04-21 09:48:38
libretro-gong-0_git20220319-r0.apk8.0 KiB2022-04-21 09:48:38
libretro-gw-0_git20220410-r0.apk192.6 KiB2022-04-21 09:48:38
libretro-mame2000-0_git20220413-r0.apk2.9 MiB2022-04-21 09:48:38
libretro-mame2003-0_git20220206-r0.apk7.2 MiB2022-04-21 09:48:38
libretro-mu-0_git20220317-r0.apk189.2 KiB2022-04-21 09:48:38
libretro-neocd-0_git20220325-r0.apk476.8 KiB2022-04-21 09:48:38
libretro-nxengine-0_git20220301-r0.apk308.2 KiB2022-04-21 09:48:38
libretro-openlara-0_git20210121-r0.apk501.3 KiB2022-04-21 09:48:38
libretro-opera-0_git20211214-r0.apk191.2 KiB2022-04-21 09:48:38
libretro-parallel-n64-0_git20220406-r0.apk827.0 KiB2022-04-21 09:48:39
libretro-pcsx-rearmed-0_git20220409-r0.apk546.3 KiB2022-04-21 09:48:39
libretro-picodrive-0_git20220405-r0.apk499.6 KiB2022-04-21 09:48:39
libretro-pocketcdg-0_git20220327-r0.apk88.7 KiB2022-04-21 09:48:39
libretro-ppsspp-0_git20210516-r12.apk2.4 MiB2023-07-03 16:46:50
libretro-scummvm-0_git20210325-r0.apk21.0 MiB2021-05-07 01:55:40
libretro-snes9x-0_git20220414-r0.apk557.2 KiB2022-04-21 09:48:39
libretro-theodore-3.1-r0.apk869.1 KiB2022-04-19 22:09:12
libretro-tyrquake-0_git20220409-r0.apk408.6 KiB2022-04-21 09:48:39
libretro-xrick-0_git20220331-r0.apk124.0 KiB2022-04-21 09:48:39
libretro-yabause-0_git20210411-r0.apk506.5 KiB2021-05-07 01:55:40
librewolf-125.0.3_p1-r0.apk80.8 MiB2024-05-06 22:39:05
librewolf-dbg-125.0.3_p1-r0.apk6.5 MiB2024-05-06 22:39:05
librewolf-intl-125.0.3_p1-r0.apk1.5 KiB2024-05-06 22:39:05
libsbsms-2.3.0-r0.apk105.7 KiB2021-09-04 15:58:22
libsbsms-dev-2.3.0-r0.apk122.6 KiB2021-09-04 15:58:22
libsds-2.0.0-r1.apk10.4 KiB2020-12-16 08:28:42
libsds-dev-2.0.0-r1.apk3.8 KiB2020-12-16 08:28:42
libsemanage-3.6-r0.apk96.4 KiB2023-12-28 04:20:20
libsemanage-dev-3.6-r0.apk141.1 KiB2023-12-28 04:20:20
libsemanage-doc-3.6-r0.apk22.9 KiB2023-12-28 04:20:20
libsemigroups-2.7.3-r0.apk727.3 KiB2024-01-22 06:32:37
libsemigroups-dev-2.7.3-r0.apk338.2 KiB2024-01-22 06:32:37
libsemigroups-static-2.7.3-r0.apk1.6 MiB2024-01-22 06:32:37
libserialport-0.1.1-r1.apk21.6 KiB2022-02-06 09:44:14
libserialport-dev-0.1.1-r1.apk37.0 KiB2022-02-06 09:44:14
libsigrok-0.5.2-r2.apk507.2 KiB2023-05-16 12:37:22
libsigrok-dev-0.5.2-r2.apk30.7 KiB2023-05-16 12:37:22
libsigrokdecode-0.5.3-r4.apk336.6 KiB2024-04-15 13:55:08
libsigrokdecode-dev-0.5.3-r4.apk38.4 KiB2024-04-15 13:55:08
libsimpleble-0.6.1-r1.apk192.0 KiB2023-07-30 16:34:13
libsimpleble-c-0.6.1-r1.apk16.1 KiB2023-07-30 16:34:13
libsimplebluez-0.6.1-r1.apk138.5 KiB2023-07-30 16:34:13
libsirocco-2.1.0-r2.apk70.4 KiB2023-08-01 13:01:20
libsirocco-dev-2.1.0-r2.apk1.9 KiB2023-08-01 13:01:20
libspatialindex-0_git20210205-r1.apk333.8 KiB2023-11-02 21:52:48
libspatialindex-dev-0_git20210205-r1.apk22.0 KiB2023-11-02 21:52:48
libssl1.1-1.1.1w-r0.apk200.1 KiB2023-09-12 15:14:50
libstirshaken-0_git20240208-r2.apk53.6 KiB2024-02-08 16:56:58
libstirshaken-dev-0_git20240208-r2.apk78.1 KiB2024-02-08 16:56:58
libstirshaken-tools-0_git20240208-r2.apk158.5 KiB2024-02-08 16:56:58
libsymmetrica-3.0.1-r2.apk4.4 MiB2023-08-01 13:01:20
libsymmetrica-dev-3.0.1-r2.apk32.1 KiB2023-08-01 13:01:20
libsymmetrica-static-3.0.1-r2.apk5.9 MiB2023-08-01 13:01:20
libthai-0.1.29-r0.apk197.5 KiB2022-02-05 16:42:02
libthai-dev-0.1.29-r0.apk30.8 KiB2022-02-05 16:42:02
libthai-doc-0.1.29-r0.apk117.9 KiB2022-02-05 16:42:02
libtins-4.5-r1.apk356.8 KiB2024-04-22 19:32:55
libtins-dev-4.5-r1.apk141.3 KiB2024-04-22 19:32:55
libtins-doc-4.5-r1.apk2.3 KiB2024-04-22 19:32:55
libtinycbor-0.6.0-r1.apk20.4 KiB2022-11-13 20:52:06
libtommath-1.2.1-r0.apk43.3 KiB2023-10-06 16:20:57
libtommath-dev-1.2.1-r0.apk69.3 KiB2023-10-06 16:20:57
libtsm-4.0.2-r0.apk27.1 KiB2022-10-05 00:06:37
libtsm-dev-4.0.2-r0.apk9.5 KiB2022-10-05 00:06:37
libubox-20230523-r0.apk25.1 KiB2023-08-06 19:59:18
libubox-dev-20230523-r0.apk20.3 KiB2023-08-06 19:59:18
libubox-static-20230523-r0.apk530.6 KiB2023-08-06 19:59:18
libucl-0.9.0-r0.apk55.9 KiB2024-02-02 21:17:45
libucl-dev-0.9.0-r0.apk82.0 KiB2024-02-02 21:17:45
libucl-doc-0.9.0-r0.apk8.9 KiB2024-02-02 21:17:45
libuecc-7-r3.apk10.0 KiB2023-10-18 16:23:47
libuecc-dev-7-r3.apk4.7 KiB2023-10-18 16:23:47
libui-4.1_alpha20211213-r0.apk70.6 KiB2021-12-14 19:37:41
libui-dev-4.1_alpha20211213-r0.apk19.1 KiB2021-12-14 19:37:41
libuninameslist-20230916-r0.apk367.5 KiB2023-09-18 04:52:14
libuninameslist-dev-20230916-r0.apk3.5 KiB2023-09-18 04:52:14
libuninameslist-doc-20230916-r0.apk2.0 KiB2023-09-18 04:52:14
libupstart-2.0.3-r4.apk54.1 KiB2023-07-01 09:31:23
libusbguard-1.1.2-r8.apk314.2 KiB2024-01-03 19:40:48
libvdpau-va-gl-0.4.2-r0.apk62.4 KiB2020-07-22 16:34:26
libvisio2svg-0.5.5-r3.apk15.3 KiB2023-04-30 01:19:53
libvisio2svg-dev-0.5.5-r3.apk2.9 KiB2023-04-30 01:19:53
libvisio2svg-utils-0.5.5-r3.apk121.6 KiB2023-04-30 01:19:53
libvmaf-3.0.0-r0.apk370.8 KiB2024-02-05 20:23:51
libvmaf-dev-3.0.0-r0.apk218.8 KiB2024-02-05 20:23:51
libvmime-0.9.2.175-r0.apk719.2 KiB2024-04-26 06:36:52
libvmime-dbg-0.9.2.175-r0.apk12.2 MiB2024-04-26 06:36:53
libvmime-dev-0.9.2.175-r0.apk20.7 MiB2024-04-26 06:36:54
libvoikko-4.3.2-r1.apk129.4 KiB2024-04-16 00:00:02
libvoikko-dev-4.3.2-r1.apk9.9 KiB2024-04-16 00:00:02
libvoikko-doc-4.3.2-r1.apk5.7 KiB2024-04-16 00:00:02
libwbxml-0.11.8-r0.apk72.7 KiB2022-03-19 09:18:03
libwbxml-dev-0.11.8-r0.apk9.0 KiB2022-03-19 09:18:03
libwbxml-doc-0.11.8-r0.apk28.3 KiB2022-03-19 09:18:03
libwhich-1.2.0-r0.apk4.5 KiB2022-11-26 01:29:28
libwmiclient-1.3.16-r4.apk1.7 MiB2022-10-25 00:07:48
libwmiclient-dev-1.3.16-r4.apk1.7 KiB2022-10-25 00:07:48
libxml++-5.0.3-r1.apk65.8 KiB2023-04-30 01:19:53
libxml++-dev-5.0.3-r1.apk30.3 KiB2023-04-30 01:19:53
libxmp-4.6.0-r0.apk243.0 KiB2023-06-20 18:47:41
libxmp-dev-4.6.0-r0.apk8.8 KiB2023-06-20 18:47:41
libzn_poly-0.9.2-r2.apk50.9 KiB2023-08-01 13:01:20
libzn_poly-dev-0.9.2-r2.apk8.2 KiB2023-08-01 13:01:20
libzn_poly-static-0.9.2-r2.apk53.4 KiB2023-08-01 13:01:20
licenseheaders-0.8.8-r3.apk17.7 KiB2024-04-16 00:00:02
licenseheaders-pyc-0.8.8-r3.apk18.4 KiB2024-04-16 00:00:02
lightdm-settings-2.0.2-r0.apk31.1 KiB2024-01-10 20:26:46
lightdm-settings-lang-2.0.2-r0.apk119.1 KiB2024-01-10 20:26:46
limnoria-20220927-r3.apk1.0 MiB2024-04-16 00:00:02
limnoria-doc-20220927-r3.apk8.0 KiB2024-04-16 00:00:02
limnoria-pyc-20220927-r3.apk1.2 MiB2024-04-16 00:00:03
links-graphics-2.29-r1.apk2.9 MiB2024-04-18 00:02:20
links-graphics-doc-2.29-r1.apk7.6 KiB2024-04-18 00:02:20
linphone-5.3.38-r0.apk9.0 MiB2024-04-14 14:22:56
linphone-dev-5.3.38-r0.apk249.7 KiB2024-04-14 14:22:56
linphone-libs-5.3.38-r0.apk3.2 MiB2024-04-14 14:22:57
linux-apfs-rw-src-0.3.8-r0.apk197.1 KiB2024-03-14 22:55:00
linux-timemachine-1.3.2-r0.apk5.1 KiB2022-11-20 21:09:12
linuxptp-4.2-r0.apk1.5 KiB2023-12-20 18:56:33
linuxptp-doc-4.2-r0.apk33.3 KiB2023-12-20 18:56:33
linuxptp-hwstamp_ctl-4.2-r0.apk4.5 KiB2023-12-20 18:56:33
linuxptp-nsm-4.2-r0.apk30.4 KiB2023-12-20 18:56:33
linuxptp-phc2sys-4.2-r0.apk37.6 KiB2023-12-20 18:56:33
linuxptp-phc_ctl-4.2-r0.apk11.3 KiB2023-12-20 18:56:33
linuxptp-pmc-4.2-r0.apk33.0 KiB2023-12-20 18:56:33
linuxptp-ptp4l-4.2-r0.apk77.4 KiB2023-12-20 18:56:33
linuxptp-timemaster-4.2-r0.apk15.7 KiB2023-12-20 18:56:33
linuxptp-ts2phc-4.2-r0.apk35.9 KiB2023-12-20 18:56:33
linuxptp-tz2alt-4.2-r0.apk18.4 KiB2023-12-20 18:56:33
lipstick-asteroidos-2.0.0-r1.apk320.9 KiB2023-10-08 13:24:19
lipstick-asteroidos-dbg-2.0.0-r1.apk13.5 MiB2023-10-08 13:24:19
lipstick-asteroidos-dev-2.0.0-r1.apk29.1 KiB2023-10-08 13:24:19
lipstick-asteroidos-tools-2.0.0-r1.apk23.6 KiB2023-10-08 13:24:19
liquibase-4.9.1-r0.apk31.6 MiB2022-04-11 11:29:08
liquibase-doc-4.9.1-r0.apk56.9 KiB2022-04-11 11:29:08
listenbrainz-mpd-2.3.5-r0.apk1.2 MiB2024-04-24 06:19:27
listenbrainz-mpd-bash-completion-2.3.5-r0.apk2.1 KiB2024-04-24 06:19:27
listenbrainz-mpd-doc-2.3.5-r0.apk14.4 KiB2024-04-24 06:19:27
listenbrainz-mpd-fish-completion-2.3.5-r0.apk1.8 KiB2024-04-24 06:19:27
listenbrainz-mpd-zsh-completion-2.3.5-r0.apk2.1 KiB2024-04-24 06:19:27
lite-xl-2.1.1-r1.apk388.7 KiB2024-01-22 02:31:43
lite-xl-doc-2.1.1-r1.apk3.9 KiB2024-01-22 02:31:43
litehtml-0.8-r2.apk318.5 KiB2023-08-01 13:01:20
litehtml-dev-0.8-r2.apk40.0 KiB2023-08-01 13:01:20
litehtml-static-0.8-r2.apk489.9 KiB2023-08-01 13:01:20
litterbox-1.9-r1.apk35.8 KiB2023-04-08 02:37:06
litterbox-doc-1.9-r1.apk7.2 KiB2023-04-08 02:37:06
lizardfs-3.13.0-r13.apk150.8 KiB2024-04-22 19:32:55
lizardfs-bash-completion-3.13.0-r13.apk1.9 KiB2024-04-22 19:32:55
lizardfs-cgi-3.13.0-r13.apk31.6 KiB2024-04-22 19:32:55
lizardfs-cgiserv-3.13.0-r13.apk7.4 KiB2024-04-22 19:32:55
lizardfs-cgiserv-openrc-3.13.0-r13.apk2.0 KiB2024-04-22 19:32:55
lizardfs-chunkserver-3.13.0-r13.apk401.5 KiB2024-04-22 19:32:55
lizardfs-chunkserver-openrc-3.13.0-r13.apk1.6 KiB2024-04-22 19:32:55
lizardfs-client-3.13.0-r13.apk1.4 MiB2024-04-22 19:32:55
lizardfs-doc-3.13.0-r13.apk11.8 KiB2024-04-22 19:32:55
lizardfs-master-3.13.0-r13.apk1.0 MiB2024-04-22 19:32:55
lizardfs-master-openrc-3.13.0-r13.apk1.6 KiB2024-04-22 19:32:55
lizardfs-metalogger-3.13.0-r13.apk176.5 KiB2024-04-22 19:32:55
lizardfs-metalogger-openrc-3.13.0-r13.apk1.6 KiB2024-04-22 19:32:55
lkrg-0.9.6-r0.apk109.7 KiB2023-08-09 10:57:14
lkrg-doc-0.9.6-r0.apk21.8 KiB2023-08-09 10:57:14
llmnrd-0.7-r1.apk16.7 KiB2022-10-25 00:07:50
llmnrd-doc-0.7-r1.apk3.0 KiB2022-10-25 00:07:50
llmnrd-openrc-0.7-r1.apk1.9 KiB2022-10-25 00:07:50
lockrun-1.1.3-r1.apk5.3 KiB2022-10-25 00:07:50
log4cpp-1.1.4-r1.apk75.5 KiB2023-05-16 12:37:24
log4cpp-dev-1.1.4-r1.apk38.9 KiB2023-05-16 12:37:24
log4cxx-1.1.0-r1.apk559.9 KiB2023-09-16 10:18:54
log4cxx-dev-1.1.0-r1.apk141.9 KiB2023-09-16 10:18:54
logc-0.5.0-r0.apk8.2 KiB2023-11-18 17:32:21
logc-argp-0.5.0-r0.apk16.7 KiB2023-11-18 17:32:21
logc-config-0.5.0-r0.apk5.3 KiB2023-11-18 17:32:21
logc-czmq-0.1.0-r0.apk4.1 KiB2023-11-18 17:32:21
logc-dev-0.5.0-r0.apk8.9 KiB2023-11-18 17:32:21
logc-libevent-0.1.0-r0.apk3.5 KiB2023-11-18 17:32:21
logc-libs-0.1.0-r0.apk1.5 KiB2023-11-18 17:32:21
logc-libs-dev-0.1.0-r0.apk5.7 KiB2023-11-18 17:32:21
logwatch-7.10-r1.apk483.5 KiB2024-05-05 15:28:16
logwatch-doc-7.10-r1.apk38.1 KiB2024-05-05 15:28:16
lol-html-1.1.1-r0.apk456.2 KiB2023-11-04 13:14:05
lol-html-dev-1.1.1-r0.apk6.5 KiB2023-11-04 13:14:05
lolcat-1.4-r0.apk10.0 KiB2023-06-14 22:43:29
lomiri-0.2.1-r1.apk4.0 MiB2024-03-26 00:04:50
lomiri-action-api-1.1.3-r0.apk84.8 KiB2024-02-07 02:03:11
lomiri-action-api-dev-1.1.3-r0.apk5.4 KiB2024-02-07 02:03:11
lomiri-api-0.2.1-r0.apk35.7 KiB2023-11-04 16:57:17
lomiri-api-dev-0.2.1-r0.apk33.4 KiB2023-11-04 16:57:17
lomiri-app-launch-0.1.9-r2.apk353.8 KiB2024-04-26 22:28:47
lomiri-app-launch-dev-0.1.9-r2.apk20.4 KiB2024-04-26 22:28:47
lomiri-calculator-app-4.0.2-r0.apk374.8 KiB2024-03-15 17:51:34
lomiri-calculator-app-lang-4.0.2-r0.apk36.8 KiB2024-03-15 17:51:34
lomiri-clock-app-4.0.3-r0.apk231.1 KiB2023-12-17 22:57:37
lomiri-clock-app-lang-4.0.3-r0.apk399.4 KiB2023-12-17 22:57:37
lomiri-content-hub-1.1.1-r0.apk295.3 KiB2024-02-10 13:53:08
lomiri-content-hub-dev-1.1.1-r0.apk11.4 KiB2024-02-10 13:53:08
lomiri-content-hub-doc-1.1.1-r0.apk893.7 KiB2024-02-10 13:53:08
lomiri-content-hub-lang-1.1.1-r0.apk41.6 KiB2024-02-10 13:53:08
lomiri-docviewer-app-3.0.4-r0.apk237.0 KiB2024-03-15 17:51:34
lomiri-docviewer-app-doc-3.0.4-r0.apk2.0 KiB2024-03-15 17:51:34
lomiri-docviewer-app-lang-3.0.4-r0.apk118.6 KiB2024-03-15 17:51:34
lomiri-download-manager-0.1.3-r1.apk622.9 KiB2024-04-22 19:32:55
lomiri-download-manager-dev-0.1.3-r1.apk17.7 KiB2024-04-22 19:32:55
lomiri-download-manager-doc-0.1.3-r1.apk1.5 MiB2024-04-22 19:32:56
lomiri-download-manager-lang-0.1.3-r1.apk31.3 KiB2024-04-22 19:32:56
lomiri-filemanager-app-1.0.4-r0.apk352.9 KiB2024-03-15 17:51:34
lomiri-filemanager-app-lang-1.0.4-r0.apk174.8 KiB2024-03-15 17:51:34
lomiri-gallery-app-3.0.2-r0.apk3.7 MiB2024-03-11 01:49:30
lomiri-gallery-app-lang-3.0.2-r0.apk108.5 KiB2024-03-11 01:49:30
lomiri-history-service-0.4-r1.apk385.3 KiB2024-04-25 23:35:03
lomiri-history-service-dev-0.4-r1.apk12.2 KiB2024-04-25 23:35:03
lomiri-indicator-location-0_git20231227-r0.apk30.3 KiB2024-03-15 17:51:34
lomiri-indicator-location-lang-0_git20231227-r0.apk33.3 KiB2024-03-15 17:51:34
lomiri-indicator-network-1.0.2-r0.apk650.7 KiB2024-02-07 02:03:11
lomiri-indicator-network-dev-1.0.2-r0.apk9.9 KiB2024-02-07 02:03:11
lomiri-indicator-network-doc-1.0.2-r0.apk2.1 KiB2024-02-07 02:03:11
lomiri-indicator-network-lang-1.0.2-r0.apk168.0 KiB2024-02-07 02:03:11
lomiri-lang-0.2.1-r1.apk249.4 KiB2024-03-26 00:04:50
lomiri-libusermetrics-1.3.2-r0.apk181.2 KiB2024-02-07 02:03:11
lomiri-libusermetrics-dev-1.3.2-r0.apk8.1 KiB2024-02-07 02:03:11
lomiri-libusermetrics-doc-1.3.2-r0.apk223.8 KiB2024-02-07 02:03:11
lomiri-libusermetrics-lang-1.3.2-r0.apk45.9 KiB2024-02-07 02:03:11
lomiri-location-service-3.1.0-r0.apk2.1 MiB2023-11-14 18:41:51
lomiri-location-service-dev-3.1.0-r0.apk31.6 KiB2023-11-14 18:41:51
lomiri-location-service-doc-3.1.0-r0.apk2.9 KiB2023-11-14 18:41:51
lomiri-location-service-lang-3.1.0-r0.apk24.3 KiB2023-11-14 18:41:51
lomiri-notifications-1.3.0-r0.apk102.4 KiB2023-12-17 22:57:37
lomiri-schemas-0.1.4-r1.apk11.1 KiB2024-03-26 00:04:50
lomiri-settings-components-1.1.1-r0.apk224.2 KiB2024-02-07 02:03:11
lomiri-settings-components-lang-1.1.1-r0.apk100.1 KiB2024-02-07 02:03:11
lomiri-sounds-22.02-r0.apk18.0 MiB2024-03-15 17:51:35
lomiri-system-settings-1.1.0-r0.apk1.0 MiB2024-03-15 23:02:14
lomiri-system-settings-lang-1.1.0-r0.apk548.7 KiB2024-03-15 23:02:14
lomiri-telephony-service-0.5.3-r0.apk1.1 MiB2024-02-07 02:03:12
lomiri-telephony-service-lang-0.5.3-r0.apk98.9 KiB2024-02-07 02:03:12
lomiri-terminal-app-2.0.2-r0.apk66.6 KiB2024-02-07 12:43:29
lomiri-terminal-app-doc-2.0.2-r0.apk2.7 KiB2024-02-07 12:43:29
lomiri-terminal-app-lang-2.0.2-r0.apk63.9 KiB2024-02-07 12:43:29
lomiri-thumbnailer-3.0.3-r1.apk241.2 KiB2024-04-22 19:32:56
lomiri-thumbnailer-dev-3.0.3-r1.apk5.2 KiB2024-04-22 19:32:56
lomiri-thumbnailer-doc-3.0.3-r1.apk1.5 KiB2024-04-22 19:32:56
lomiri-trust-store-2.0.2-r0.apk1.0 MiB2024-02-07 02:03:12
lomiri-trust-store-dev-2.0.2-r0.apk9.5 KiB2024-02-07 02:03:12
lomiri-trust-store-lang-2.0.2-r0.apk32.0 KiB2024-02-07 02:03:12
lomiri-ui-extras-0.6.3-r0.apk276.7 KiB2024-02-07 02:03:12
lomiri-ui-extras-lang-0.6.3-r0.apk55.3 KiB2024-02-07 02:03:12
lomiri-ui-toolkit-1.3.5100-r0.apk1.3 MiB2024-03-23 00:20:19
lomiri-ui-toolkit-dev-1.3.5100-r0.apk173.4 KiB2024-03-23 00:20:19
lomiri-ui-toolkit-lang-1.3.5100-r0.apk99.5 KiB2024-03-23 00:20:19
lomiri-url-dispatcher-0.1.3-r1.apk38.3 KiB2024-04-16 00:00:03
lomiri-url-dispatcher-dev-0.1.3-r1.apk3.4 KiB2024-04-16 00:00:03
lomiri-url-dispatcher-lang-0.1.3-r1.apk20.8 KiB2024-04-16 00:00:03
lomiri-weather-app-5.13.5-r0.apk235.3 KiB2024-03-15 17:51:35
lomiri-weather-app-lang-5.13.5-r0.apk287.7 KiB2024-03-15 17:51:35
lotide-0.15.0-r0.apk3.4 MiB2024-03-29 01:16:41
lotide-openrc-0.15.0-r0.apk3.1 KiB2024-03-29 01:16:41
lottieconverter-0.2_git20231219-r0.apk13.4 KiB2023-12-19 22:23:33
lottieconverter-doc-0.2_git20231219-r0.apk2.4 KiB2023-12-19 22:23:33
lout-3.42.2-r0.apk1.4 MiB2023-06-12 21:35:22
lout-doc-3.42.2-r0.apk452.5 KiB2023-06-12 21:35:22
lowjs-1.6.2-r2.apk1.3 MiB2024-04-14 14:22:57
lowjs-doc-1.6.2-r2.apk3.0 KiB2024-04-14 14:22:57
lrcalc-2.1-r1.apk11.3 KiB2023-05-16 12:37:24
lrcalc-dev-2.1-r1.apk11.3 KiB2023-05-16 12:37:24
lrcalc-libs-2.1-r1.apk25.3 KiB2023-05-16 12:37:24
lrzsz-0.12.20-r2.apk69.3 KiB2022-10-25 00:07:50
lrzsz-doc-0.12.20-r2.apk14.8 KiB2022-10-25 00:07:50
lsd-1.1.1-r0.apk1.1 MiB2024-03-25 23:03:58
lsd-bash-completion-1.1.1-r0.apk2.5 KiB2024-03-25 23:03:58
lsd-fish-completion-1.1.1-r0.apk3.2 KiB2024-03-25 23:03:58
lsd-zsh-completion-1.1.1-r0.apk3.5 KiB2024-03-25 23:03:58
lsdvd-0.17-r0.apk13.2 KiB2023-01-31 20:08:18
lsdvd-doc-0.17-r0.apk2.5 KiB2023-01-31 20:08:18
lshell-0.9.18-r11.apk36.5 KiB2024-04-16 00:00:03
lshell-doc-0.9.18-r11.apk25.2 KiB2024-04-16 00:00:03
lshell-pyc-0.9.18-r11.apk35.3 KiB2024-04-16 00:00:03
lsip6-0.2.0-r1.apk5.5 KiB2024-04-16 00:00:03
lsip6-pyc-0.2.0-r1.apk5.1 KiB2024-04-16 00:00:03
lsix-1.8.2-r0.apk6.5 KiB2023-12-23 01:53:14
lsmash-2.14.5-r2.apk291.5 KiB2022-10-25 00:07:50
lsmash-dev-2.14.5-r2.apk358.7 KiB2022-10-25 00:07:50
lua-editorconfig-0.3.0-r0.apk1.2 KiB2021-04-12 07:25:39
lua-fn-0.1.0-r0.apk3.4 KiB2022-08-15 15:13:27
lua-inet-0.2.0-r0.apk8.9 KiB2022-01-14 09:20:26
lua-lanes-3.16.0-r1.apk1.4 KiB2024-04-04 11:35:33
lua-language-server-3.8.3-r0.apk3.7 MiB2024-04-28 10:43:24
lua-language-server-doc-3.8.3-r0.apk2.3 KiB2024-04-28 10:43:24
lua-lcurses-9.0.0-r0.apk1.2 KiB2020-07-22 16:34:27
lua-libmodbus-0.6.1-r0.apk1.2 KiB2020-07-22 16:34:27
lua-libmodbus-doc-0.6.1-r0.apk19.1 KiB2020-07-22 16:34:27
lua-linenoise-0.9-r1.apk1.2 KiB2021-01-18 00:41:25
lua-luastatic-0.0.12-r1.apk1.5 KiB2022-10-25 00:07:50
lua-lupa-1.0-r0.apk19.6 KiB2022-01-14 09:20:26
lua-lut-1.2.1-r0.apk88.7 KiB2020-07-22 16:34:27
lua-psl-0.3-r0.apk1.1 KiB2020-07-22 16:34:27
lua-resty-redis-0.29-r0.apk5.3 KiB2023-02-16 20:59:20
lua-resty-upload-0.11-r0.apk3.6 KiB2023-03-16 19:52:40
lua-xml-1.1.3-r1.apk1.2 KiB2020-07-22 16:34:27
lua5.1-lanes-3.16.0-r1.apk57.0 KiB2024-04-04 11:35:33
lua5.1-lcurses-9.0.0-r0.apk29.5 KiB2020-07-22 16:34:27
lua5.1-libguestfs-1.52.0-r1.apk90.3 KiB2024-04-16 00:00:03
lua5.1-libmodbus-0.6.1-r0.apk11.5 KiB2020-07-22 16:34:27
lua5.1-linenoise-0.9-r1.apk18.2 KiB2021-01-18 00:41:25
lua5.1-luacov-0.15.0-r0.apk23.3 KiB2023-06-08 21:52:28
lua5.1-luacov-html-1.0.0-r1.apk412.7 KiB2022-06-02 16:18:41
lua5.1-luastatic-0.0.12-r1.apk86.0 KiB2022-10-25 00:07:50
lua5.1-psl-0.3-r0.apk6.4 KiB2020-07-22 16:34:27
lua5.1-xml-1.1.3-r1.apk24.2 KiB2020-07-22 16:34:27
lua5.2-editorconfig-0.3.0-r0.apk4.7 KiB2021-04-12 07:25:39
lua5.2-lanes-3.16.0-r1.apk56.8 KiB2024-04-04 11:35:33
lua5.2-libmodbus-0.6.1-r0.apk11.4 KiB2020-07-22 16:34:27
lua5.2-linenoise-0.9-r1.apk18.3 KiB2021-01-18 00:41:25
lua5.2-luacov-0.15.0-r0.apk23.3 KiB2023-06-08 21:52:28
lua5.2-luacov-html-1.0.0-r1.apk412.8 KiB2022-06-02 16:18:41
lua5.2-luastatic-0.0.12-r1.apk9.1 KiB2022-10-25 00:07:50
lua5.2-penlight-1.3.0-r1.apk99.0 KiB2022-07-26 06:19:17
lua5.2-penlight-doc-1.3.0-r1.apk64.6 KiB2022-07-26 06:19:17
lua5.2-psl-0.3-r0.apk6.3 KiB2020-07-22 16:34:27
lua5.2-xml-1.1.3-r1.apk24.0 KiB2020-07-22 16:34:27
lua5.3-apk3-3.0.0_pre2_git20240401-r0.apk6.0 KiB2024-04-02 19:46:56
lua5.3-editorconfig-0.3.0-r0.apk4.7 KiB2021-04-12 07:25:39
lua5.3-lanes-3.16.0-r1.apk57.6 KiB2024-04-04 11:35:33
lua5.3-linenoise-0.9-r1.apk18.3 KiB2021-01-18 00:41:25
lua5.3-luacov-0.15.0-r0.apk23.3 KiB2023-06-08 21:52:28
lua5.3-luacov-html-1.0.0-r1.apk412.8 KiB2022-06-02 16:18:42
lua5.3-luastatic-0.0.12-r1.apk9.1 KiB2022-10-25 00:07:50
lua5.3-psl-0.3-r0.apk6.3 KiB2020-07-22 16:34:27
lua5.4-editorconfig-0.3.0-r0.apk4.7 KiB2021-04-12 07:25:39
lua5.4-lanes-3.16.0-r1.apk57.4 KiB2024-04-04 11:35:33
lua5.4-linenoise-0.9-r1.apk18.2 KiB2021-01-18 00:41:25
lua5.4-luacov-0.15.0-r0.apk23.3 KiB2023-06-08 21:52:28
lua5.4-luastatic-0.0.12-r1.apk9.2 KiB2022-10-25 00:07:50
luacov-0.15.0-r0.apk1.5 KiB2023-06-08 21:52:28
luacov-html-1.0.0-r1.apk1.2 KiB2022-06-02 16:18:42
luapak-0.1.0_beta5-r0.apk35.3 KiB2020-08-18 17:04:06
luksmeta-9-r0.apk13.8 KiB2022-06-17 11:36:59
luksmeta-dev-9-r0.apk3.1 KiB2022-06-17 11:36:59
luksmeta-doc-9-r0.apk5.5 KiB2022-06-17 11:36:59
lumina-desktop-1.6.2-r0.apk1.2 KiB2022-07-05 19:11:24
lumina-desktop-archiver-1.6.2-r0.apk181.8 KiB2022-07-05 19:11:24
lumina-desktop-core-1.6.2-r0.apk9.1 MiB2022-07-05 19:11:25
lumina-desktop-coreutils-1.6.2-r0.apk885.2 KiB2022-07-05 19:11:25
lumina-desktop-doc-1.6.2-r0.apk11.5 KiB2022-07-05 19:11:25
lumina-desktop-fileinfo-1.6.2-r0.apk174.7 KiB2022-07-05 19:11:25
lumina-desktop-fm-1.6.2-r0.apk425.8 KiB2022-07-05 19:11:25
lumina-desktop-mediaplayer-1.6.2-r0.apk216.3 KiB2022-07-05 19:11:25
lumina-desktop-photo-1.6.2-r0.apk138.4 KiB2022-07-05 19:11:25
lumina-desktop-screenshot-1.6.2-r0.apk185.8 KiB2022-07-05 19:11:25
lumina-desktop-sudo-1.6.2-r0.apk107.5 KiB2022-07-05 19:11:25
lumina-desktop-textedit-1.6.2-r0.apk210.9 KiB2022-07-05 19:11:25
lumins-0.4.0-r2.apk666.9 KiB2023-05-24 09:34:23
lutgen-0.10.0-r0.apk1.3 MiB2024-04-01 18:59:59
lutgen-bash-completion-0.10.0-r0.apk6.8 KiB2024-04-01 18:59:59
lutgen-fish-completion-0.10.0-r0.apk7.2 KiB2024-04-01 18:59:59
lutgen-zsh-completion-0.10.0-r0.apk8.1 KiB2024-04-01 18:59:59
lxappearance-0.6.3-r3.apk29.7 KiB2023-05-29 03:30:21
lxappearance-dev-0.6.3-r3.apk3.2 KiB2023-05-29 03:30:21
lxappearance-doc-0.6.3-r3.apk2.6 KiB2023-05-29 03:30:21
lxappearance-lang-0.6.3-r3.apk80.5 KiB2023-05-29 03:30:21
lxd-feature-5.20-r2.apk68.6 MiB2024-04-06 20:38:47
lxd-feature-bash-completion-5.20-r2.apk5.1 KiB2024-04-06 20:38:47
lxd-feature-doc-5.20-r2.apk1.7 KiB2024-04-06 20:38:47
lxd-feature-openrc-5.20-r2.apk2.5 KiB2024-04-06 20:38:47
lxd-feature-scripts-5.20-r2.apk2.1 KiB2024-04-06 20:38:47
lychee-0.15.1-r0.apk5.1 MiB2024-04-29 22:39:31
lychee-doc-0.15.1-r0.apk11.4 KiB2024-04-29 22:39:31
lynis-3.1.1-r0.apk271.3 KiB2024-03-20 05:17:53
lynis-bash-completion-3.1.1-r0.apk3.0 KiB2024-03-20 05:17:53
lynis-doc-3.1.1-r0.apk49.2 KiB2024-03-20 05:17:53
lyrebird-0.1.0-r4.apk2.9 MiB2024-04-06 20:38:47
lzfse-1.0-r0.apk19.9 KiB2022-09-17 09:45:41
lzfse-dev-1.0-r0.apk3.4 KiB2022-09-17 09:45:41
m17n-db-1.8.5-r0.apk2.5 MiB2023-11-23 21:20:51
m17n-db-dev-1.8.5-r0.apk1.7 KiB2023-11-23 21:20:51
m17n-db-lang-1.8.5-r0.apk11.0 KiB2023-11-23 21:20:51
m17n-lib-1.8.4-r1.apk151.8 KiB2023-09-19 21:48:39
m17n-lib-dev-1.8.4-r1.apk39.1 KiB2023-09-19 21:48:39
m17n-lib-tools-1.8.4-r1.apk36.9 KiB2023-09-19 21:48:39
m2r2-0.3.3-r2.apk11.9 KiB2024-04-16 00:00:03
m2r2-pyc-0.3.3-r2.apk15.6 KiB2024-04-16 00:00:03
ma1sd-2.5.0-r2.apk38.1 MiB2022-10-25 00:07:53
ma1sd-openrc-2.5.0-r2.apk2.0 KiB2022-10-25 00:07:53
maddy-0.7.1-r2.apk9.5 MiB2024-04-06 20:38:48
maddy-doc-0.7.1-r2.apk2.4 KiB2024-04-06 20:38:48
maddy-openrc-0.7.1-r2.apk2.0 KiB2024-04-06 20:38:48
maddy-vim-0.7.1-r2.apk3.7 KiB2024-04-06 20:38:48
mage-1.13.0-r15.apk1.5 MiB2024-04-06 20:38:48
maildir2rss-0.0.2-r4.apk3.2 MiB2024-04-06 20:38:48
mailsec-check-0_git20210729-r18.apk2.4 MiB2024-04-06 20:38:48
mailtutan-0.3.0-r0.apk1.3 MiB2023-10-02 09:25:13
mailutils-3.17-r0.apk218.5 KiB2024-01-19 21:16:01
mailutils-dev-3.17-r0.apk3.0 MiB2024-01-19 21:16:02
mailutils-doc-3.17-r0.apk159.1 KiB2024-01-19 21:16:02
mailutils-libs-3.17-r0.apk539.4 KiB2024-01-19 21:16:02
mailutils-mh-3.17-r0.apk1.4 MiB2024-01-19 21:16:02
mailutils-servers-3.17-r0.apk80.3 KiB2024-01-19 21:16:02
makeself-2.5.0-r0.apk13.0 KiB2023-06-09 23:32:59
mame-0.251-r0.apk94.2 MiB2023-02-22 11:09:48
mame-arcade-0.251-r0.apk64.9 MiB2023-02-22 11:09:49
mame-common-0.251-r0.apk2.7 KiB2023-02-22 11:09:49
mame-data-0.251-r0.apk19.1 MiB2023-02-22 11:09:49
mame-doc-0.251-r0.apk24.1 KiB2023-02-22 11:09:49
mame-lang-0.251-r0.apk1.4 MiB2023-02-22 11:09:49
mame-mess-0.251-r0.apk52.1 MiB2023-02-22 11:09:50
mame-plugins-0.251-r0.apk166.3 KiB2023-02-22 11:09:50
mame-tools-0.251-r0.apk2.7 MiB2023-02-22 11:09:50
mangal-4.0.6-r10.apk10.2 MiB2024-04-06 20:38:49
mangal-bash-completion-4.0.6-r10.apk5.0 KiB2024-04-06 20:38:49
mangal-fish-completion-4.0.6-r10.apk3.9 KiB2024-04-06 20:38:49
mangal-zsh-completion-4.0.6-r10.apk4.0 KiB2024-04-06 20:38:49
mangr0ve-0.1.2-r0.apk2.8 KiB2024-01-29 02:19:39
mangr0ve-doc-0.1.2-r0.apk14.4 KiB2024-01-29 02:19:39
manifest-tool-2.1.6-r2.apk4.0 MiB2024-04-06 20:38:50
mapnik-3.1.0-r25.apk11.1 MiB2023-11-13 22:34:00
mapnik-dev-3.1.0-r25.apk470.5 KiB2023-11-13 22:34:00
mapnik-doc-3.1.0-r25.apk135.2 KiB2023-11-13 22:34:00
mapserver-8.0.1-r3.apk1.4 MiB2024-05-06 12:18:12
mapserver-dev-8.0.1-r3.apk539.1 KiB2024-05-06 12:18:12
marknote-1.1.1-r0.apk203.2 KiB2024-04-04 07:30:24
marknote-lang-1.1.1-r0.apk20.6 KiB2024-04-04 07:30:24
marxan-4.0.7-r1.apk602.3 KiB2022-10-25 00:07:54
masky-0.2.0-r1.apk277.7 KiB2024-04-16 00:00:03
masky-pyc-0.2.0-r1.apk64.3 KiB2024-04-16 00:00:03
mat2-0.13.4-r1.apk32.2 KiB2023-10-19 16:23:05
mat2-doc-0.13.4-r1.apk7.8 KiB2023-10-19 16:23:05
mat2-pyc-0.13.4-r1.apk56.7 KiB2023-10-19 16:23:05
materia-20210322-r1.apk1.7 KiB2022-10-28 17:16:12
materia-chromium-20210322-r1.apk5.7 KiB2022-10-28 17:16:12
materia-compact-20210322-r1.apk1.7 KiB2022-10-28 17:16:12
materia-compact-chromium-20210322-r1.apk5.7 KiB2022-10-28 17:16:12
materia-compact-gnome-shell-20210322-r1.apk31.6 KiB2022-10-28 17:16:12
materia-compact-gtk2-20210322-r1.apk37.8 KiB2022-10-28 17:16:12
materia-compact-gtk3-20210322-r1.apk64.6 KiB2022-10-28 17:16:12
materia-dark-20210322-r1.apk1.7 KiB2022-10-28 17:16:12
materia-dark-chromium-20210322-r1.apk5.7 KiB2022-10-28 17:16:12
materia-dark-compact-20210322-r1.apk1.7 KiB2022-10-28 17:16:12
materia-dark-compact-chromium-20210322-r1.apk5.7 KiB2022-10-28 17:16:12
materia-dark-compact-gnome-shell-20210322-r1.apk31.6 KiB2022-10-28 17:16:12
materia-dark-compact-gtk2-20210322-r1.apk37.7 KiB2022-10-28 17:16:12
materia-dark-compact-gtk3-20210322-r1.apk41.2 KiB2022-10-28 17:16:12
materia-dark-compact-kde-kvantum-20220823-r0.apk1.5 KiB2023-03-19 22:43:15
materia-dark-gnome-shell-20210322-r1.apk31.5 KiB2022-10-28 17:16:12
materia-dark-gtk2-20210322-r1.apk37.7 KiB2022-10-28 17:16:12
materia-dark-gtk3-20210322-r1.apk41.2 KiB2022-10-28 17:16:12
materia-dark-kde-konsole-20220823-r0.apk1.8 KiB2023-03-19 22:43:15
materia-dark-kde-kvantum-20220823-r0.apk30.0 KiB2023-03-19 22:43:15
materia-dark-kde-plasma-20220823-r0.apk502.9 KiB2023-03-19 22:43:15
materia-dark-kde-yakuake-20220823-r0.apk21.7 KiB2023-03-19 22:43:15
materia-gnome-shell-20210322-r1.apk31.5 KiB2022-10-28 17:16:12
materia-gtk-theme-20210322-r1.apk151.1 KiB2022-10-28 17:16:12
materia-gtk2-20210322-r1.apk37.8 KiB2022-10-28 17:16:12
materia-gtk3-20210322-r1.apk64.7 KiB2022-10-28 17:16:12
materia-kde-20220823-r0.apk19.3 KiB2023-03-19 22:43:15
materia-kde-konsole-20220823-r0.apk1.8 KiB2023-03-19 22:43:15
materia-kde-kvantum-20220823-r0.apk30.0 KiB2023-03-19 22:43:15
materia-kde-plasma-20220823-r0.apk1.7 MiB2023-03-19 22:43:15
materia-light-compact-kde-kvantum-20220823-r0.apk1.5 KiB2023-03-19 22:43:15
materia-light-kde-kvantum-20220823-r0.apk29.6 KiB2023-03-19 22:43:15
materia-light-kde-plasma-20220823-r0.apk20.1 KiB2023-03-19 22:43:15
materia-light-kde-yakuake-20220823-r0.apk21.5 KiB2023-03-19 22:43:15
mautrix-discord-0.6.5-r2.apk6.3 MiB2024-04-06 20:38:50
mautrix-discord-doc-0.6.5-r2.apk13.2 KiB2024-04-06 20:38:50
mautrix-discord-openrc-0.6.5-r2.apk2.0 KiB2024-04-06 20:38:50
mautrix-gmessages-0.4.0-r0.apk6.7 MiB2024-04-16 17:34:30
mautrix-gmessages-doc-0.4.0-r0.apk13.2 KiB2024-04-16 17:34:30
mautrix-gmessages-openrc-0.4.0-r0.apk2.0 KiB2024-04-16 17:34:30
mautrix-meta-0.3.0-r0.apk7.8 MiB2024-04-16 17:33:35
mautrix-meta-doc-0.3.0-r0.apk13.2 KiB2024-04-16 17:33:35
mautrix-meta-openrc-0.3.0-r0.apk2.1 KiB2024-04-16 17:33:35
mautrix-slack-0_git20230925-r2.apk5.7 MiB2024-04-06 20:38:52
mautrix-slack-doc-0_git20230925-r2.apk13.2 KiB2024-04-06 20:38:52
mautrix-slack-openrc-0_git20230925-r2.apk2.0 KiB2024-04-06 20:38:52
maxima-5.47.0-r7.apk22.2 MiB2024-04-19 06:16:20
maxima-bash-completion-5.47.0-r7.apk2.4 KiB2024-04-19 06:16:20
maxima-doc-5.47.0-r7.apk760.9 KiB2024-04-19 06:16:20
maxima-doc-extra-5.47.0-r7.apk10.0 MiB2024-04-19 06:16:20
maxima-emacs-5.47.0-r7.apk111.4 KiB2024-04-19 06:16:20
mbpfan-2.4.0-r0.apk14.4 KiB2023-04-07 21:45:49
mbpfan-doc-2.4.0-r0.apk5.3 KiB2023-04-07 21:45:49
mbpfan-openrc-2.4.0-r0.apk1.7 KiB2023-04-07 21:45:49
mbrola-3.3-r0.apk24.1 KiB2022-08-16 01:57:11
mcjoin-2.11-r0.apk25.5 KiB2022-09-12 07:48:02
mcjoin-doc-2.11-r0.apk53.7 KiB2022-09-12 07:48:02
mcman-0.4.4-r0.apk2.7 MiB2024-02-08 19:38:18
mcman-doc-0.4.4-r0.apk13.7 KiB2024-02-08 19:38:18
mcqd-1.0.0-r1.apk13.9 KiB2023-08-01 13:01:20
mcqd-dev-1.0.0-r1.apk4.0 KiB2023-08-01 13:01:20
md5ha1-0_git20171202-r1.apk8.8 KiB2022-10-25 00:07:54
mdbook-katex-0.8.0-r0.apk621.3 KiB2024-04-16 00:00:03
mdnsd-0.12-r1.apk23.4 KiB2023-05-16 12:37:25
mdnsd-doc-0.12-r1.apk14.4 KiB2023-05-16 12:37:25
mdnsd-libs-0.12-r1.apk19.1 KiB2023-05-16 12:37:25
mdnsd-openrc-0.12-r1.apk2.1 KiB2023-05-16 12:37:25
mdnsd-static-0.12-r1.apk18.0 KiB2023-05-16 12:37:25
mdp-1.0.15-r1.apk17.7 KiB2022-10-25 00:07:54
mdp-doc-1.0.15-r1.apk3.7 KiB2022-10-25 00:07:54
mediascanner2-0.115-r0.apk279.9 KiB2024-03-15 17:51:35
mediastreamer2-5.3.38-r0.apk386.9 KiB2024-04-14 14:22:57
mediastreamer2-dev-5.3.38-r0.apk112.5 KiB2024-04-14 14:22:57
mediastreamer2-doc-5.3.38-r0.apk105.2 KiB2024-04-14 14:22:57
mediastreamer2-plugin-openh264-5.2.0_git20231020-r0.apk12.5 KiB2024-04-14 14:22:57
mediastreamer2-plugin-x264-20200722-r6.apk8.4 KiB2024-04-14 14:22:57
megatools-1.11.1.20230212-r1.apk71.9 KiB2023-03-20 17:04:25
megatools-bash-completion-1.11.1.20230212-r1.apk4.1 KiB2023-03-20 17:04:25
megatools-doc-1.11.1.20230212-r1.apk52.2 KiB2023-03-20 17:04:25
megazeux-2.93-r0.apk1.1 MiB2024-01-19 01:36:04
megazeux-doc-2.93-r0.apk443.9 KiB2024-01-19 01:36:04
memdump-1.01-r1.apk5.7 KiB2022-10-25 00:07:54
memdump-doc-1.01-r1.apk3.1 KiB2022-10-25 00:07:54
menumaker-0.99.14-r1.apk111.0 KiB2022-10-14 15:06:00
meowdict-0.10.5-r0.apk1.1 MiB2024-04-28 06:12:39
mergerfs-2.38.1-r0.apk296.8 KiB2024-01-22 13:52:53
mergerfs-doc-2.38.1-r0.apk38.8 KiB2024-01-22 13:52:53
merlin-4.14-r0.apk13.9 MiB2024-03-23 20:58:09
merlin-dev-4.14-r0.apk22.4 MiB2024-03-23 20:58:10
merlin-emacs-4.14-r0.apk28.5 KiB2024-03-23 20:58:10
merlin-vim-4.14-r0.apk27.9 KiB2024-03-23 20:58:10
meson-tools-0.1-r1.apk8.6 KiB2022-08-04 09:44:44
meson-tools-doc-0.1-r1.apk8.4 KiB2022-08-04 09:44:44
metalang99-1.13.3-r0.apk54.3 KiB2023-05-16 12:37:25
micropython-1.22.1-r0.apk246.5 KiB2024-01-07 01:32:40
micropython-cross-1.22.1-r0.apk137.9 KiB2024-01-07 01:32:40
milkytracker-1.04.00-r2.apk1015.8 KiB2024-03-23 13:16:36
milkytracker-doc-1.04.00-r2.apk50.4 KiB2024-03-23 13:16:36
mimalloc1-1.8.2-r0.apk68.7 KiB2023-04-25 16:55:31
mimalloc1-debug-1.8.2-r0.apk170.9 KiB2023-04-25 16:55:31
mimalloc1-dev-1.8.2-r0.apk422.3 KiB2023-04-25 16:55:31
mimalloc1-insecure-1.8.2-r0.apk60.9 KiB2023-04-25 16:55:31
mimedefang-3.4.1-r0.apk150.8 KiB2023-05-01 18:05:15
mimedefang-doc-3.4.1-r0.apk92.5 KiB2023-05-01 18:05:15
mimeo-2023-r1.apk21.4 KiB2024-04-16 00:00:03
mimeo-pyc-2023-r1.apk41.6 KiB2024-04-16 00:00:03
mingw-w64-libusb-1.0.27-r0.apk212.7 KiB2024-02-07 03:55:39
minidyndns-1.3.0-r3.apk11.6 KiB2021-10-18 21:46:18
minidyndns-doc-1.3.0-r3.apk5.1 KiB2021-10-18 21:46:18
minidyndns-openrc-1.3.0-r3.apk1.8 KiB2021-10-18 21:46:18
minimodem-0.24-r1.apk22.0 KiB2022-10-25 00:07:54
minimodem-doc-0.24-r1.apk5.2 KiB2022-10-25 00:07:54
minisatip-1.3.4-r0.apk303.1 KiB2024-03-15 06:19:16
minisatip-openrc-1.3.4-r0.apk1.9 KiB2024-03-15 06:19:16
mint-themes-2.1.1-r0.apk2.2 KiB2023-06-12 15:01:07
mint-themes-doc-2.1.1-r0.apk12.8 KiB2023-06-12 15:01:07
mint-x-icons-1.6.5-r1.apk22.7 MiB2023-10-31 11:19:19
mint-x-icons-doc-1.6.5-r1.apk7.2 KiB2023-10-31 11:19:19
mint-x-theme-2.1.1-r0.apk2.3 KiB2023-06-12 15:01:07
mint-x-theme-gtk2-2.1.1-r0.apk489.9 KiB2023-06-12 15:01:07
mint-x-theme-gtk3-2.1.1-r0.apk603.5 KiB2023-06-12 15:01:07
mint-x-theme-gtk4-2.1.1-r0.apk510.5 KiB2023-06-12 15:01:07
mint-x-theme-metacity-2.1.1-r0.apk6.1 KiB2023-06-12 15:01:07
mint-x-theme-xfwm4-2.1.1-r0.apk34.8 KiB2023-06-12 15:01:07
mint-y-icons-1.7.2-r0.apk70.5 MiB2023-12-31 19:14:08
mint-y-icons-doc-1.7.2-r0.apk10.3 KiB2023-12-31 19:14:08
mint-y-theme-2.1.1-r0.apk4.1 KiB2023-06-12 15:01:07
mint-y-theme-gtk2-2.1.1-r0.apk656.1 KiB2023-06-12 15:01:07
mint-y-theme-gtk3-2.1.1-r0.apk1.8 MiB2023-06-12 15:01:07
mint-y-theme-gtk4-2.1.1-r0.apk1.6 MiB2023-06-12 15:01:07
mint-y-theme-metacity-2.1.1-r0.apk57.7 KiB2023-06-12 15:01:07
mint-y-theme-xfwm4-2.1.1-r0.apk207.0 KiB2023-06-12 15:01:07
mir-2.15.0-r1.apk1.8 MiB2024-04-22 19:32:56
mir-demos-2.15.0-r1.apk123.0 KiB2024-04-22 19:32:56
mir-dev-2.15.0-r1.apk4.2 MiB2024-04-22 19:32:56
mir-test-tools-2.15.0-r1.apk221.4 KiB2024-04-22 19:32:56
miraclecast-1.0_git20221016-r0.apk166.2 KiB2022-10-17 14:21:45
miraclecast-bash-completion-1.0_git20221016-r0.apk2.9 KiB2022-10-17 14:21:45
mitmproxy-10.2.0-r0.apk1.5 MiB2024-04-16 00:00:03
mitmproxy-pyc-10.2.0-r0.apk883.1 KiB2024-04-16 00:00:03
mitra-2.17.1-r0.apk7.5 MiB2024-04-30 02:38:41
mitra-doc-2.17.1-r0.apk18.3 KiB2024-04-30 02:38:41
mitra-openrc-2.17.1-r0.apk1.9 KiB2024-04-30 02:38:41
mixxx-2.3.6-r2.apk18.6 MiB2023-12-13 20:26:02
mixxx-doc-2.3.6-r2.apk168.2 KiB2023-12-13 20:26:02
mjpg-streamer-0_git20210220-r1.apk190.9 KiB2022-10-25 00:07:54
mkcert-1.4.4-r11.apk1.6 MiB2024-04-06 20:38:52
mkdocs-bootstrap-1.1.1-r1.apk27.4 KiB2024-04-16 00:00:03
mkdocs-bootstrap-pyc-1.1.1-r1.apk1.8 KiB2024-04-16 00:00:03
mkdocs-bootstrap386-0.0.2-r4.apk789.3 KiB2024-04-16 00:00:03
mkdocs-bootstrap386-pyc-0.0.2-r4.apk1.8 KiB2024-04-16 00:00:03
mkdocs-bootstrap4-0.1.5-r4.apk258.8 KiB2024-04-16 00:00:03
mkdocs-bootstrap4-pyc-0.1.5-r4.apk1.8 KiB2024-04-16 00:00:03
mkdocs-bootswatch-1.1-r4.apk534.8 KiB2024-04-16 00:00:03
mkdocs-bootswatch-pyc-1.1-r4.apk4.7 KiB2024-04-16 00:00:03
mkdocs-cinder-1.2.0-r4.apk245.5 KiB2024-04-16 00:00:03
mkdocs-cinder-pyc-1.2.0-r4.apk1.8 KiB2024-04-16 00:00:03
mkdocs-cluster-0.0.9-r4.apk649.1 KiB2024-04-16 00:00:03
mkdocs-cluster-pyc-0.0.9-r4.apk1.8 KiB2024-04-16 00:00:03
mkdocs-gitbook-0.0.1-r4.apk638.6 KiB2024-04-16 00:00:03
mkdocs-gitbook-pyc-0.0.1-r4.apk1.8 KiB2024-04-16 00:00:03
mkdocs-ivory-0.4.6-r4.apk9.2 KiB2024-04-16 00:00:03
mkdocs-ivory-pyc-0.4.6-r4.apk1.8 KiB2024-04-16 00:00:03
mkdocs-rtd-dropdown-1.0.2-r4.apk246.5 KiB2024-04-16 00:00:03
mkdocs-rtd-dropdown-pyc-1.0.2-r4.apk1.8 KiB2024-04-16 00:00:03
mkdocs-windmill-1.0.5-r3.apk942.8 KiB2024-04-16 00:00:03
mkdocs-windmill-pyc-1.0.5-r3.apk1.8 KiB2024-04-16 00:00:03
mkg3a-0.5.0-r1.apk14.6 KiB2022-10-25 00:07:54
mkg3a-doc-0.5.0-r1.apk3.1 KiB2022-10-25 00:07:54
mktorrent-borg-0.9.9-r1.apk11.4 KiB2022-08-04 09:44:46
mktorrent-borg-doc-0.9.9-r1.apk2.5 KiB2022-08-04 09:44:46
mlxl-0.1-r0.apk6.4 KiB2023-03-18 10:50:15
mm-1.4.2-r1.apk8.7 KiB2020-07-22 16:34:36
mm-common-1.0.5-r0.apk473.0 KiB2023-01-01 22:06:24
mm-common-doc-1.0.5-r0.apk31.7 KiB2023-01-01 22:06:24
mm-dev-1.4.2-r1.apk13.1 KiB2020-07-22 16:34:36
mm-doc-1.4.2-r1.apk14.3 KiB2020-07-22 16:34:36
mmix-0_git20221025-r0.apk150.2 KiB2022-12-14 11:16:33
mml-1.0.0-r0.apk863.5 KiB2023-11-10 23:48:28
mml-bash-completion-1.0.0-r0.apk2.3 KiB2023-11-10 23:48:28
mml-doc-1.0.0-r0.apk3.9 KiB2023-11-10 23:48:28
mml-fish-completion-1.0.0-r0.apk2.3 KiB2023-11-10 23:48:28
mml-zsh-completion-1.0.0-r0.apk2.8 KiB2023-11-10 23:48:28
mmtc-0.3.2-r0.apk507.0 KiB2023-11-12 10:22:11
mnamer-2.5.5-r1.apk31.7 KiB2024-04-16 00:00:03
mnamer-pyc-2.5.5-r1.apk60.5 KiB2024-04-16 00:00:03
mobpass-0.2-r5.apk5.7 KiB2024-04-16 00:00:03
mobpass-pyc-0.2-r5.apk5.3 KiB2024-04-16 00:00:03
modem-manager-gui-0.0.20-r0.apk373.4 KiB2021-10-29 13:58:28
modem-manager-gui-doc-0.0.20-r0.apk3.9 MiB2021-10-29 13:58:28
modem-manager-gui-lang-0.0.20-r0.apk129.3 KiB2021-10-29 13:58:28
moderncli-0.8.1-r0.apk1.4 KiB2024-02-13 21:03:20
moderncli-dev-0.8.1-r0.apk15.3 KiB2024-02-13 21:03:20
moderncli-doc-0.8.1-r0.apk5.1 KiB2024-02-13 21:03:20
moe-1.14-r0.apk109.5 KiB2024-01-21 03:06:34
moe-doc-1.14-r0.apk19.0 KiB2024-01-21 03:06:34
moka-icon-theme-5.4.0-r2.apk113.7 MiB2021-01-13 15:41:09
monetdb-11.33.11-r4.apk2.2 MiB2023-04-30 01:19:55
monetdb-dev-11.33.11-r4.apk77.1 KiB2023-04-30 01:19:55
monetdb-doc-11.33.11-r4.apk320.9 KiB2023-04-30 01:19:55
mongo-cxx-driver-3.8.0-r0.apk201.9 KiB2023-08-19 06:41:08
mongo-cxx-driver-dev-3.8.0-r0.apk88.9 KiB2023-08-19 06:41:08
moon-buggy-1.0.51-r1.apk37.4 KiB2022-10-25 00:07:54
moon-buggy-doc-1.0.51-r1.apk7.1 KiB2022-10-25 00:07:54
moosefs-3.0.117-r1.apk196.4 KiB2023-06-17 21:07:07
moosefs-cgi-3.0.117-r1.apk63.5 KiB2023-06-17 21:07:07
moosefs-cgiserv-3.0.117-r1.apk7.8 KiB2023-06-17 21:07:07
moosefs-cgiserv-openrc-3.0.117-r1.apk2.0 KiB2023-06-17 21:07:07
moosefs-chunkserver-3.0.117-r1.apk156.8 KiB2023-06-17 21:07:07
moosefs-chunkserver-openrc-3.0.117-r1.apk1.7 KiB2023-06-17 21:07:07
moosefs-client-3.0.117-r1.apk286.1 KiB2023-06-17 21:07:07
moosefs-doc-3.0.117-r1.apk64.8 KiB2023-06-17 21:07:07
moosefs-master-3.0.117-r1.apk285.5 KiB2023-06-17 21:07:07
moosefs-master-openrc-3.0.117-r1.apk1.7 KiB2023-06-17 21:07:07
moosefs-metalogger-3.0.117-r1.apk34.5 KiB2023-06-17 21:07:07
moosefs-metalogger-openrc-3.0.117-r1.apk1.7 KiB2023-06-17 21:07:07
moosefs-static-3.0.117-r1.apk526.8 KiB2023-06-17 21:07:07
morph-browser-1.1.0-r0.apk579.9 KiB2024-02-07 02:03:12
morph-browser-lang-1.1.0-r0.apk303.5 KiB2024-02-07 02:03:12
motion-4.6.0-r0.apk140.6 KiB2023-11-13 22:52:21
motion-doc-4.6.0-r0.apk140.9 KiB2023-11-13 22:52:21
motion-lang-4.6.0-r0.apk487.8 KiB2023-11-13 22:52:21
motion-openrc-4.6.0-r0.apk2.3 KiB2023-11-13 22:52:21
mp3gain-1.6.2-r2.apk32.1 KiB2023-09-25 19:30:36
mp3val-0.1.8-r1.apk14.3 KiB2022-10-14 15:06:00
mpdcron-0.3-r1.apk103.6 KiB2022-10-25 00:07:54
mpdcron-dev-0.3-r1.apk58.5 KiB2022-10-25 00:07:54
mpdcron-doc-0.3-r1.apk13.4 KiB2022-10-25 00:07:54
mpdcron-zsh-completion-0.3-r1.apk2.9 KiB2022-10-25 00:07:54
mpdris2-0.9.1-r3.apk15.2 KiB2022-07-27 23:55:07
mpdris2-doc-0.9.1-r3.apk14.9 KiB2022-07-27 23:55:07
mpdris2-lang-0.9.1-r3.apk2.3 KiB2022-07-27 23:55:07
mpdris2-rs-0.2.3-r0.apk744.9 KiB2024-03-11 01:49:30
mpdris2-rs-doc-0.2.3-r0.apk13.6 KiB2024-03-11 01:49:30
mpop-1.4.18-r0.apk79.0 KiB2023-01-31 22:02:21
mpop-doc-1.4.18-r0.apk33.1 KiB2023-01-31 22:02:21
mpop-lang-1.4.18-r0.apk103.0 KiB2023-01-31 22:02:21
mpop-vim-1.4.18-r0.apk2.7 KiB2023-01-31 22:02:21
mpv-sponsorblock-2.1.0-r0.apk1.4 MiB2024-03-11 01:49:30
mpvpaper-1.5-r0.apk28.0 KiB2024-05-04 11:22:05
mpvpaper-doc-1.5-r0.apk3.6 KiB2024-05-04 11:22:05
mqtt2prometheus-0.1.7-r8.apk4.2 MiB2024-04-06 20:38:52
mrsh-0_git20210518-r1.apk5.7 KiB2022-10-25 00:07:54
mrsh-dbg-0_git20210518-r1.apk188.4 KiB2022-10-25 00:07:54
mrsh-dev-0_git20210518-r1.apk10.0 KiB2022-10-25 00:07:54
mrsh-libs-0_git20210518-r1.apk67.8 KiB2022-10-25 00:07:54
msh-2.5.0-r4.apk2.7 MiB2024-04-06 20:38:52
msh-openrc-2.5.0-r4.apk2.0 KiB2024-04-06 20:38:52
mspdebug-0.25-r1.apk196.0 KiB2022-10-25 00:07:54
mspdebug-doc-0.25-r1.apk14.2 KiB2022-10-25 00:07:54
msr-tools-1.3-r1.apk10.1 KiB2022-10-25 00:07:54
muon-0.2.0-r2.apk219.4 KiB2024-03-27 21:18:21
muon-doc-0.2.0-r2.apk67.6 KiB2024-03-27 21:18:21
musikcube-3.0.2-r1.apk2.4 MiB2023-12-13 20:26:02
musikcube-dev-3.0.2-r1.apk20.6 KiB2023-12-13 20:26:02
musikcube-plugin-all-3.0.2-r1.apk1.5 KiB2023-12-13 20:26:02
musikcube-plugin-httpdatastream-3.0.2-r1.apk88.0 KiB2023-12-13 20:26:02
musikcube-plugin-mpris-3.0.2-r1.apk23.6 KiB2023-12-13 20:26:02
musikcube-plugin-openmpt-3.0.2-r1.apk35.3 KiB2023-12-13 20:26:02
musikcube-plugin-server-3.0.2-r1.apk408.9 KiB2023-12-13 20:26:02
musikcube-plugin-stockencoders-3.0.2-r1.apk21.6 KiB2023-12-13 20:26:02
musikcube-plugin-supereqdsp-3.0.2-r1.apk28.8 KiB2023-12-13 20:26:02
musikcube-plugin-taglibreader-3.0.2-r1.apk37.7 KiB2023-12-13 20:26:02
mxclient-0_git20211002-r1.apk80.7 KiB2022-10-25 00:07:54
mypaint-2.0.1-r0.apk3.8 MiB2023-04-23 01:07:04
mypaint-lang-2.0.1-r0.apk1.2 MiB2023-04-23 01:07:04
mypaint-pyc-2.0.1-r0.apk1.2 MiB2023-04-23 01:07:04
n30f-2.0-r3.apk6.8 KiB2022-10-25 00:07:54
nano-hare-0_git20231021-r0.apk2.2 KiB2024-01-31 16:23:08
nauty-2.8.8-r0.apk5.1 MiB2023-12-08 02:14:32
nauty-dev-2.8.8-r0.apk2.9 MiB2023-12-08 02:14:32
nb-7.12.1-r0.apk149.5 KiB2024-02-23 23:35:56
nb-bash-completion-7.12.1-r0.apk3.0 KiB2024-02-23 23:35:56
nb-doc-7.12.1-r0.apk75.9 KiB2024-02-23 23:35:56
nb-fish-completion-7.12.1-r0.apk2.8 KiB2024-02-23 23:35:56
nb-full-7.12.1-r0.apk1.5 KiB2024-02-23 23:35:56
nb-zsh-completion-7.12.1-r0.apk3.0 KiB2024-02-23 23:35:56
nbsdgames-5-r0.apk104.5 KiB2022-05-04 13:20:29
nbsdgames-doc-5-r0.apk9.4 KiB2022-05-04 13:20:29
ndpi-4.8-r0.apk1.5 MiB2023-10-24 06:47:15
ndpi-dev-4.8-r0.apk913.9 KiB2023-10-24 06:47:15
neard-0.19-r0.apk134.0 KiB2023-09-19 19:49:52
neard-dev-0.19-r0.apk11.1 KiB2023-09-19 19:49:52
neard-doc-0.19-r0.apk5.6 KiB2023-09-19 19:49:52
neard-openrc-0.19-r0.apk1.7 KiB2023-09-19 19:49:52
nemo-gtkhash-plugin-1.5-r0.apk25.9 KiB2022-10-01 21:33:12
nemo-qml-plugin-alarms-0.3.10-r1.apk60.0 KiB2021-11-01 16:13:07
netdiscover-0.10-r0.apk404.5 KiB2023-09-29 23:08:46
netdiscover-doc-0.10-r0.apk22.0 KiB2023-09-29 23:08:46
netscanner-0.4.4-r0.apk3.5 MiB2024-04-30 17:57:28
netscanner-doc-0.4.4-r0.apk2.9 KiB2024-04-30 17:57:28
netsed-1.3-r3.apk10.7 KiB2022-10-25 00:07:54
netsurf-3.11-r0.apk2.1 MiB2024-02-03 13:34:20
netsurf-doc-3.11-r0.apk4.4 KiB2024-02-03 13:34:20
netsurf-framebuffer-3.11-r0.apk2.8 MiB2024-02-03 13:34:20
netsurf-framebuffer-doc-3.11-r0.apk3.9 KiB2024-02-03 13:34:20
newsyslog-1.2.0.91-r1.apk17.2 KiB2023-05-31 07:02:49
newsyslog-doc-1.2.0.91-r1.apk24.0 KiB2023-05-31 07:02:49
nextpnr-0.6-r2.apk1.4 KiB2024-04-22 19:32:56
nextpnr-ecp5-0.6-r2.apk25.4 MiB2024-04-22 19:32:58
nextpnr-generic-0.6-r2.apk737.1 KiB2024-04-22 19:32:58
nextpnr-gowin-0.6-r2.apk1.3 MiB2024-04-22 19:32:58
nextpnr-ice40-0.6-r2.apk68.6 MiB2024-04-22 19:33:05
nginx-ultimate-bad-bot-blocker-4.2023.10.4046-r0.apk716.1 KiB2023-11-02 14:51:41
nginx-ultimate-bad-bot-blocker-doc-4.2023.10.4046-r0.apk21.4 KiB2023-11-02 14:51:41
nicotine-plus-3.3.2-r1.apk1.2 MiB2024-04-16 00:00:03
nicotine-plus-doc-3.3.2-r1.apk2.4 KiB2024-04-16 00:00:03
nicotine-plus-lang-3.3.2-r1.apk577.1 KiB2024-04-16 00:00:03
nicotine-plus-pyc-3.3.2-r1.apk755.0 KiB2024-04-16 00:00:04
nil-2023.08.09-r0.apk1.7 MiB2024-04-18 13:48:41
nitro-2.7_beta8-r2.apk535.6 KiB2023-10-19 16:23:05
nitro-dev-2.7_beta8-r2.apk190.0 KiB2023-10-19 16:23:05
nitrocli-0.4.1-r3.apk438.4 KiB2023-05-24 09:34:24
nitrocli-bash-completion-0.4.1-r3.apk3.2 KiB2023-05-24 09:34:24
nitrocli-doc-0.4.1-r3.apk8.8 KiB2023-05-24 09:34:24
nixpacks-0.1.7-r1.apk904.3 KiB2023-05-24 09:34:24
nkk-0_git20221010-r0.apk15.9 KiB2023-02-23 19:39:31
nkk-dev-0_git20221010-r0.apk2.9 KiB2023-02-23 19:39:31
nkk-doc-0_git20221010-r0.apk7.0 KiB2023-02-23 19:39:31
nlopt-2.7.1-r0.apk190.3 KiB2022-05-06 21:45:16
nlopt-dev-2.7.1-r0.apk12.2 KiB2022-05-06 21:45:16
nlopt-doc-2.7.1-r0.apk22.7 KiB2022-05-06 21:45:16
nm-tray-0.5.0-r0.apk106.4 KiB2024-01-28 22:12:45
nm-tray-lang-0.5.0-r0.apk26.9 KiB2024-01-28 22:12:45
nmail-4.54-r0.apk473.6 KiB2024-03-24 14:43:16
nmail-doc-4.54-r0.apk3.4 KiB2024-03-24 14:43:16
nmail-oauth-4.54-r0.apk5.0 KiB2024-03-24 14:43:16
nmap-parse-output-1.5.1-r0.apk21.4 KiB2022-06-12 21:54:30
nmap-parse-output-bash-completion-1.5.1-r0.apk1.7 KiB2022-06-12 21:54:30
nmap-parse-output-doc-1.5.1-r0.apk807.5 KiB2022-06-12 21:54:30
nmon-16q-r0.apk73.6 KiB2024-04-30 03:47:24
noblenote-1.2.1-r1.apk418.2 KiB2022-10-25 00:07:54
noggin-model-0.1-r0.apk12.0 MiB2023-06-01 00:15:49
noggin-model-lightweight-0.1-r0.apk1.7 MiB2023-06-01 00:15:49
noice-0.8-r1.apk10.2 KiB2022-10-25 00:07:54
noice-doc-0.8-r1.apk3.4 KiB2022-10-25 00:07:54
nom-2.1.4-r0.apk7.8 MiB2024-04-09 14:29:27
normaliz-3.10.2-r1.apk43.0 KiB2024-04-22 19:33:05
normaliz-dev-3.10.2-r1.apk71.0 KiB2024-04-22 19:33:05
normaliz-libs-3.10.2-r1.apk2.5 MiB2024-04-22 19:33:05
noson-2.10.3-r0.apk331.9 KiB2023-06-10 15:31:45
noson-app-5.4.1-r1.apk1.3 MiB2023-08-28 12:07:05
noson-app-dbg-5.4.1-r1.apk12.7 MiB2023-08-28 12:07:05
noson-dbg-2.10.3-r0.apk2.9 MiB2023-06-10 15:31:46
noson-dev-2.10.3-r0.apk34.1 KiB2023-06-10 15:31:46
nsh-0.4.2-r1.apk626.7 KiB2023-05-24 09:34:24
nsh-dbg-0.4.2-r1.apk3.4 MiB2023-05-24 09:34:24
nsjail-3.4-r2.apk199.3 KiB2023-11-15 18:10:15
nsjail-doc-3.4-r2.apk23.8 KiB2023-11-15 18:10:15
nsnake-3.0.0-r0.apk9.8 KiB2022-04-15 12:08:20
nsnake-doc-3.0.0-r0.apk2.6 KiB2022-04-15 12:08:20
nsq-1.3.0-r2.apk23.3 MiB2024-04-06 20:38:55
ntfy-alertmanager-0.3.0-r0.apk2.6 MiB2024-04-18 00:20:28
ntfy-alertmanager-openrc-0.3.0-r0.apk1.7 KiB2024-04-18 00:20:28
nuklear-4.12.0-r0.apk219.8 KiB2024-02-18 01:31:40
nuklear-doc-4.12.0-r0.apk42.4 KiB2024-02-18 01:31:40
nullmailer-2.2-r4.apk139.2 KiB2021-12-14 19:37:41
nullmailer-doc-2.2-r4.apk10.2 KiB2021-12-14 19:37:41
nullmailer-openrc-2.2-r4.apk1.6 KiB2021-12-14 19:37:41
numbat-1.9.0-r0.apk1.1 MiB2024-02-06 03:37:59
numbat-doc-1.9.0-r0.apk24.8 KiB2024-02-06 03:37:59
nuzzle-1.5-r0.apk11.2 KiB2023-12-08 17:53:40
nuzzle-doc-1.5-r0.apk3.3 KiB2023-12-08 17:53:40
nvim-cmp-0.0.0_git20221011-r0.apk54.9 KiB2022-10-13 20:58:11
nvim-cmp-buffer-0.0.0_git20220810-r0.apk7.9 KiB2022-10-13 20:58:11
nvim-cmp-buffer-doc-0.0.0_git20220810-r0.apk4.2 KiB2022-10-13 20:58:11
nvim-cmp-cmdline-0.0.0_git20220902-r0.apk3.4 KiB2022-10-13 20:58:11
nvim-cmp-cmdline-doc-0.0.0_git20220902-r0.apk1.8 KiB2022-10-13 20:58:11
nvim-cmp-doc-0.0.0_git20221011-r0.apk10.5 KiB2022-10-13 20:58:11
nvim-cmp-lsp-0.0.0_git20220516-r0.apk3.3 KiB2022-06-15 21:15:35
nvim-cmp-lsp-doc-0.0.0_git20220516-r0.apk2.4 KiB2022-06-15 21:15:35
nvim-cmp-luasnip-0.0.0_git20220501-r0.apk3.6 KiB2022-08-13 14:25:40
nvim-cmp-luasnip-doc-0.0.0_git20220501-r0.apk2.1 KiB2022-08-13 14:25:40
nvim-cmp-path-0.0.0_git20221002-r0.apk3.8 KiB2022-10-13 20:58:11
nvim-cmp-path-doc-0.0.0_git20221002-r0.apk2.0 KiB2022-10-13 20:58:11
nvim-gruvbox-0.0.0_git20221212-r0.apk10.2 KiB2022-12-18 18:32:39
nvim-gruvbox-doc-0.0.0_git20221212-r0.apk2.9 KiB2022-12-18 18:32:39
nvim-lualine-0.0.0_git20221006-r0.apk59.4 KiB2022-10-13 20:58:11
nvim-lualine-doc-0.0.0_git20221006-r0.apk18.8 KiB2022-10-13 20:58:11
nvim-packer-0.0.0_git20220910-r0.apk45.7 KiB2022-10-13 20:58:11
nvim-packer-doc-0.0.0_git20220910-r0.apk21.3 KiB2022-10-13 20:58:11
nvim-treesitter-0.0.0_git20221013-r0.apk193.7 KiB2022-10-13 20:58:11
nvim-treesitter-doc-0.0.0_git20221013-r0.apk18.3 KiB2022-10-13 20:58:11
nvimpager-0.12.0-r0.apk12.6 KiB2023-06-22 10:21:45
nvimpager-doc-0.12.0-r0.apk4.4 KiB2023-06-22 10:21:45
nvimpager-zsh-completion-0.12.0-r0.apk1.8 KiB2023-06-22 10:21:45
nvtop-3.1.0-r0.apk57.8 KiB2024-02-24 12:55:41
nvtop-doc-3.1.0-r0.apk3.5 KiB2024-02-24 12:55:41
nwg-bar-0.1.6-r2.apk1.5 MiB2024-04-06 20:38:55
nwg-displays-0.3.13-r1.apk23.6 KiB2024-04-16 00:00:04
nwg-displays-pyc-0.3.13-r1.apk34.8 KiB2024-04-16 00:00:04
nwg-dock-0.3.9-r3.apk1.6 MiB2024-04-06 20:38:55
nymphcast-mediaserver-0.1-r2.apk79.8 KiB2023-01-08 04:24:26
nymphcast-mediaserver-nftables-0.1-r2.apk1.7 KiB2023-01-08 04:24:26
nzbget-21.1-r2.apk1.1 MiB2023-04-30 01:19:55
nzbget-doc-21.1-r2.apk68.8 KiB2023-04-30 01:19:55
oauth2-proxy-7.6.0-r3.apk7.9 MiB2024-04-06 20:38:55
oauth2-proxy-openrc-7.6.0-r3.apk2.2 KiB2024-04-06 20:38:55
objconv-2.52_git20210213-r2.apk264.4 KiB2022-10-25 00:07:54
ocaml-alcotest-1.5.0-r4.apk456.9 KiB2024-04-22 06:18:04
ocaml-alcotest-dev-1.5.0-r4.apk820.7 KiB2024-04-22 06:18:04
ocaml-amqp-client-2.3.0-r0.apk598.2 KiB2024-04-22 06:18:04
ocaml-amqp-client-dev-2.3.0-r0.apk1.0 MiB2024-04-22 06:18:04
ocaml-angstrom-0.16.0-r0.apk175.1 KiB2024-03-23 20:58:10
ocaml-angstrom-dev-0.16.0-r0.apk340.3 KiB2024-03-23 20:58:10
ocaml-arp-3.0.0-r3.apk82.9 KiB2024-03-23 20:58:10
ocaml-arp-dev-3.0.0-r3.apk158.0 KiB2024-03-23 20:58:10
ocaml-asn1-combinators-0.2.6-r2.apk313.0 KiB2024-03-23 20:58:10
ocaml-asn1-combinators-dev-0.2.6-r2.apk572.1 KiB2024-03-23 20:58:10
ocaml-astring-0.8.5-r2.apk282.8 KiB2024-03-23 20:58:10
ocaml-astring-dev-0.8.5-r2.apk180.2 KiB2024-03-23 20:58:10
ocaml-atd-2.15.0-r0.apk6.5 MiB2024-04-22 06:18:05
ocaml-atd-dev-2.15.0-r0.apk1.9 MiB2024-04-22 06:18:05
ocaml-base-0.16.3-r0.apk4.6 MiB2024-03-23 20:58:10
ocaml-base-dev-0.16.3-r0.apk9.6 MiB2024-03-23 20:58:11
ocaml-base64-3.5.0-r2.apk88.2 KiB2024-03-23 20:58:11
ocaml-base64-dev-3.5.0-r2.apk175.2 KiB2024-03-23 20:58:11
ocaml-bigarray-compat-1.1.0-r2.apk12.6 KiB2024-03-23 20:58:11
ocaml-bigarray-compat-dev-1.1.0-r2.apk12.3 KiB2024-03-23 20:58:11
ocaml-bigstringaf-0.9.0-r2.apk47.0 KiB2024-03-23 20:58:11
ocaml-bigstringaf-dev-0.9.0-r2.apk90.8 KiB2024-03-23 20:58:11
ocaml-biniou-1.2.1-r5.apk551.6 KiB2024-03-23 20:58:11
ocaml-biniou-dev-1.2.1-r5.apk363.6 KiB2024-03-23 20:58:11
ocaml-bisect_ppx-2.8.3-r0.apk4.3 MiB2024-03-23 20:58:11
ocaml-bisect_ppx-dev-2.8.3-r0.apk544.8 KiB2024-03-23 20:58:11
ocaml-bitstring-4.1.0-r3.apk3.8 MiB2024-03-23 20:58:11
ocaml-bitstring-dev-4.1.0-r3.apk570.2 KiB2024-03-23 20:58:11
ocaml-bos-0.2.1-r2.apk455.1 KiB2024-03-23 20:58:11
ocaml-bos-dev-0.2.1-r2.apk344.5 KiB2024-03-23 20:58:11
ocaml-ca-certs-0.2.2-r2.apk28.4 KiB2024-03-23 20:58:11
ocaml-ca-certs-dev-0.2.2-r2.apk40.0 KiB2024-03-23 20:58:11
ocaml-ca-certs-nss-3.89.1-r1.apk394.5 KiB2024-03-23 20:58:11
ocaml-ca-certs-nss-dev-3.89.1-r1.apk447.0 KiB2024-03-23 20:58:11
ocaml-ca-certs-nss-tools-3.89.1-r1.apk1.5 MiB2024-03-23 20:58:11
ocaml-cairo2-0.6.2-r2.apk166.3 KiB2024-03-23 20:58:11
ocaml-cairo2-dev-0.6.2-r2.apk433.9 KiB2024-03-23 20:58:11
ocaml-calendar-2.04-r4.apk212.2 KiB2024-03-23 20:58:11
ocaml-calendar-dev-2.04-r4.apk139.5 KiB2024-03-23 20:58:11
ocaml-calendar-doc-2.04-r4.apk11.9 KiB2024-03-23 20:58:11
ocaml-camlzip-1.11-r2.apk113.8 KiB2024-03-23 20:58:11
ocaml-camlzip-dev-1.11-r2.apk216.1 KiB2024-03-23 20:58:11
ocaml-camomile-1.0.2-r3.apk1.3 MiB2024-03-23 20:58:11
ocaml-camomile-data-1.0.2-r3.apk5.1 MiB2024-03-23 20:58:12
ocaml-camomile-dev-1.0.2-r3.apk2.5 MiB2024-03-23 20:58:12
ocaml-charinfo_width-1.1.0-r3.apk101.6 KiB2024-03-23 20:58:12
ocaml-charinfo_width-dev-1.1.0-r3.apk188.1 KiB2024-03-23 20:58:12
ocaml-cmdliner-1.1.1-r3.apk462.0 KiB2024-03-23 20:58:12
ocaml-cmdliner-dev-1.1.1-r3.apk236.2 KiB2024-03-23 20:58:12
ocaml-cmdliner-doc-1.1.1-r3.apk19.8 KiB2024-03-23 20:58:12
ocaml-cohttp-5.3.1-r0.apk663.8 KiB2024-04-22 06:18:05
ocaml-cohttp-dev-5.3.1-r0.apk1.3 MiB2024-04-22 06:18:05
ocaml-cohttp-tools-5.3.1-r0.apk7.4 MiB2024-04-22 06:18:05
ocaml-compiler-libs-repackaged-0.12.4-r3.apk77.4 KiB2024-03-23 20:58:12
ocaml-compiler-libs-repackaged-dev-0.12.4-r3.apk104.3 KiB2024-03-23 20:58:12
ocaml-conduit-6.1.0-r0.apk284.2 KiB2024-04-22 06:18:05
ocaml-conduit-dev-6.1.0-r0.apk542.3 KiB2024-04-22 06:18:05
ocaml-containers-3.7-r2.apk3.5 MiB2024-03-23 20:58:12
ocaml-containers-dev-3.7-r2.apk6.6 MiB2024-03-23 20:58:12
ocaml-containers-top-3.7-r2.apk22.6 KiB2024-03-23 20:58:12
ocaml-cstruct-6.1.0-r3.apk3.9 MiB2024-03-23 20:58:12
ocaml-cstruct-dev-6.1.0-r3.apk699.6 KiB2024-03-23 20:58:12
ocaml-ctypes-0.20.1-r2.apk867.2 KiB2024-03-23 20:58:13
ocaml-ctypes-dev-0.20.1-r2.apk847.2 KiB2024-03-23 20:58:13
ocaml-curses-1.0.10-r2.apk138.9 KiB2024-03-23 20:58:13
ocaml-curses-dev-1.0.10-r2.apk302.5 KiB2024-03-23 20:58:13
ocaml-dns-6.2.2-r3.apk2.2 MiB2024-03-23 20:58:13
ocaml-dns-dev-6.2.2-r3.apk4.1 MiB2024-03-23 20:58:13
ocaml-dns-tools-6.2.2-r3.apk10.4 MiB2024-03-23 20:58:13
ocaml-domain-name-0.4.0-r2.apk74.7 KiB2024-03-23 20:58:13
ocaml-domain-name-dev-0.4.0-r2.apk141.4 KiB2024-03-23 20:58:13
ocaml-down-0.1.0-r3.apk584.4 KiB2024-03-23 20:58:13
ocaml-down-dev-0.1.0-r3.apk265.8 KiB2024-03-23 20:58:13
ocaml-duration-0.2.0-r2.apk26.6 KiB2024-03-23 20:58:13
ocaml-duration-dev-0.2.0-r2.apk44.0 KiB2024-03-23 20:58:13
ocaml-easy-format-1.3.4-r1.apk59.8 KiB2024-03-23 20:58:13
ocaml-easy-format-dev-1.3.4-r1.apk111.3 KiB2024-03-23 20:58:13
ocaml-eqaf-0.8-r2.apk68.0 KiB2024-03-23 20:58:13
ocaml-eqaf-dev-0.8-r2.apk120.5 KiB2024-03-23 20:58:13
ocaml-erm_xml-0_git20211229-r2.apk574.1 KiB2024-03-23 20:58:14
ocaml-erm_xml-dev-0_git20211229-r2.apk770.2 KiB2024-03-23 20:58:14
ocaml-erm_xmpp-0_git20220404-r2.apk1.3 MiB2024-03-23 20:58:14
ocaml-erm_xmpp-dev-0_git20220404-r2.apk1.6 MiB2024-03-23 20:58:14
ocaml-ethernet-3.0.0-r3.apk42.2 KiB2024-03-23 20:58:14
ocaml-ethernet-dev-3.0.0-r3.apk83.2 KiB2024-03-23 20:58:14
ocaml-extlib-1.7.9-r2.apk629.1 KiB2024-03-23 20:58:14
ocaml-extlib-dev-1.7.9-r2.apk1.3 MiB2024-03-23 20:58:14
ocaml-extlib-doc-1.7.9-r2.apk10.6 KiB2024-03-23 20:58:14
ocaml-ezxmlm-1.1.0-r0.apk31.5 KiB2024-04-22 06:18:05
ocaml-ezxmlm-dev-1.1.0-r0.apk51.9 KiB2024-04-22 06:18:05
ocaml-fileutils-0.6.4-r2.apk309.4 KiB2024-03-23 20:58:14
ocaml-fileutils-dev-0.6.4-r2.apk598.0 KiB2024-03-23 20:58:14
ocaml-fileutils-doc-0.6.4-r2.apk15.8 KiB2024-03-23 20:58:14
ocaml-fix-20220121-r2.apk165.0 KiB2024-03-23 20:58:14
ocaml-fix-dev-20220121-r2.apk429.7 KiB2024-03-23 20:58:14
ocaml-fmt-0.9.0-r2.apk187.8 KiB2024-03-23 20:58:14
ocaml-fmt-dev-0.9.0-r2.apk123.0 KiB2024-03-23 20:58:14
ocaml-fpath-0.7.3-r2.apk136.5 KiB2024-03-23 20:58:14
ocaml-fpath-dev-0.7.3-r2.apk99.6 KiB2024-03-23 20:58:14
ocaml-gen-1.1-r1.apk320.1 KiB2024-03-23 20:58:14
ocaml-gen-dev-1.1-r1.apk608.2 KiB2024-03-23 20:58:14
ocaml-gettext-0.4.2-r3.apk3.2 MiB2024-03-23 20:58:14
ocaml-gettext-dev-0.4.2-r3.apk725.2 KiB2024-03-23 20:58:14
ocaml-gettext-doc-0.4.2-r3.apk19.2 KiB2024-03-23 20:58:14
ocaml-gitlab-0.1.8-r0.apk2.9 MiB2024-04-22 06:18:05
ocaml-gitlab-dev-0.1.8-r0.apk11.4 MiB2024-04-22 06:18:06
ocaml-gmap-0.3.0-r2.apk35.9 KiB2024-03-23 20:58:14
ocaml-gmap-dev-0.3.0-r2.apk74.4 KiB2024-03-23 20:58:14
ocaml-happy-eyeballs-0.3.0-r2.apk82.6 KiB2024-03-23 20:58:14
ocaml-happy-eyeballs-dev-0.3.0-r2.apk147.1 KiB2024-03-23 20:58:14
ocaml-happy-eyeballs-lwt-0.3.0-r3.apk38.5 KiB2024-03-23 20:58:14
ocaml-happy-eyeballs-lwt-dev-0.3.0-r3.apk58.7 KiB2024-03-23 20:58:14
ocaml-happy-eyeballs-lwt-tools-0.3.0-r3.apk2.4 MiB2024-03-23 20:58:14
ocaml-hex-1.5.0-r2.apk29.2 KiB2024-03-23 20:58:14
ocaml-hex-dev-1.5.0-r2.apk53.3 KiB2024-03-23 20:58:14
ocaml-higlo-0.9-r0.apk4.7 MiB2024-04-22 06:18:06
ocaml-higlo-dev-0.9-r0.apk663.1 KiB2024-04-22 06:18:06
ocaml-hkdf-1.0.4-r2.apk13.5 KiB2024-03-23 20:58:14
ocaml-hkdf-dev-1.0.4-r2.apk18.4 KiB2024-03-23 20:58:14
ocaml-integers-0.7.0-r2.apk129.7 KiB2024-03-23 20:58:14
ocaml-integers-dev-0.7.0-r2.apk270.7 KiB2024-03-23 20:58:14
ocaml-ipaddr-5.3.1-r2.apk310.8 KiB2024-03-23 20:58:14
ocaml-ipaddr-dev-5.3.1-r2.apk555.9 KiB2024-03-23 20:58:14
ocaml-iri-1.0.0-r0.apk1.8 MiB2024-04-22 06:18:06
ocaml-iri-dev-1.0.0-r0.apk758.0 KiB2024-04-22 06:18:06
ocaml-iso8601-0.2.6-r0.apk51.9 KiB2024-04-22 06:18:06
ocaml-iso8601-dev-0.2.6-r0.apk86.8 KiB2024-04-22 06:18:06
ocaml-jsonm-1.0.2-r0.apk115.8 KiB2024-04-22 06:18:06
ocaml-jsonm-dev-1.0.2-r0.apk65.3 KiB2024-04-22 06:18:06
ocaml-jsonm-tools-1.0.2-r0.apk432.2 KiB2024-04-22 06:18:06
ocaml-lablgtk3-3.1.2-r3.apk7.8 MiB2024-03-23 20:58:15
ocaml-lablgtk3-dev-3.1.2-r3.apk13.7 MiB2024-03-23 20:58:16
ocaml-lablgtk3-extras-3.0.1-r2.apk871.0 KiB2024-03-23 20:58:16
ocaml-lablgtk3-extras-dev-3.0.1-r2.apk1.5 MiB2024-03-23 20:58:17
ocaml-labltk-8.06.12-r2.apk2.9 MiB2024-03-23 20:58:17
ocaml-labltk-dev-8.06.12-r2.apk1.3 MiB2024-03-23 20:58:17
ocaml-lambda-term-3.2.0-r4.apk3.3 MiB2024-03-23 20:58:17
ocaml-lambda-term-dev-3.2.0-r4.apk3.8 MiB2024-03-23 20:58:18
ocaml-lambda-term-doc-3.2.0-r4.apk8.8 KiB2024-03-23 20:58:18
ocaml-lambdasoup-0.7.3-r2.apk187.8 KiB2024-03-23 20:58:18
ocaml-lambdasoup-dev-0.7.3-r2.apk345.2 KiB2024-03-23 20:58:18
ocaml-libvirt-0.6.1.7-r0.apk176.8 KiB2024-03-23 20:58:18
ocaml-libvirt-dev-0.6.1.7-r0.apk92.2 KiB2024-03-23 20:58:18
ocaml-libvirt-doc-0.6.1.7-r0.apk13.7 KiB2024-03-23 20:58:18
ocaml-logs-0.7.0-r3.apk114.8 KiB2024-03-23 20:58:18
ocaml-logs-dev-0.7.0-r3.apk85.6 KiB2024-03-23 20:58:18
ocaml-lru-0.3.0-r2.apk74.7 KiB2024-03-23 20:58:18
ocaml-lru-dev-0.3.0-r2.apk162.3 KiB2024-03-23 20:58:18
ocaml-lwd-0.3-r0.apk485.8 KiB2024-03-23 20:58:18
ocaml-lwd-dev-0.3-r0.apk1016.0 KiB2024-03-23 20:58:18
ocaml-lwt-5.7.0-r0.apk1.2 MiB2024-03-23 20:58:18
ocaml-lwt-dev-5.7.0-r0.apk3.2 MiB2024-03-23 20:58:18
ocaml-lwt-dllist-1.0.1-r3.apk22.7 KiB2024-03-23 20:58:18
ocaml-lwt-dllist-dev-1.0.1-r3.apk40.0 KiB2024-03-23 20:58:18
ocaml-lwt_log-1.1.1-r5.apk131.3 KiB2024-03-23 20:58:18
ocaml-lwt_log-dev-1.1.1-r5.apk255.4 KiB2024-03-23 20:58:18
ocaml-lwt_ppx-5.7.0-r0.apk3.5 MiB2024-03-23 20:58:19
ocaml-lwt_react-5.7.0-r0.apk118.6 KiB2024-03-23 20:58:19
ocaml-lwt_ssl-1.2.0-r0.apk29.1 KiB2024-04-22 06:18:06
ocaml-lwt_ssl-dev-1.2.0-r0.apk46.8 KiB2024-04-22 06:18:06
ocaml-magic-mime-1.3.1-r0.apk209.8 KiB2024-04-22 06:18:06
ocaml-magic-mime-dev-1.3.1-r0.apk311.0 KiB2024-04-22 06:18:06
ocaml-markup-1.0.3-r3.apk996.1 KiB2024-03-23 20:58:19
ocaml-markup-dev-1.0.3-r3.apk2.1 MiB2024-03-23 20:58:19
ocaml-menhir-20220210-r2.apk1.8 MiB2024-03-23 20:58:19
ocaml-menhir-dev-20220210-r2.apk892.9 KiB2024-03-23 20:58:19
ocaml-menhir-doc-20220210-r2.apk4.0 KiB2024-03-23 20:58:19
ocaml-merlin-extend-0.6.1-r2.apk48.5 KiB2024-03-23 20:58:19
ocaml-merlin-extend-dev-0.6.1-r2.apk91.4 KiB2024-03-23 20:58:19
ocaml-metrics-0.4.0-r3.apk241.9 KiB2024-03-23 20:58:19
ocaml-metrics-dev-0.4.0-r3.apk447.7 KiB2024-03-23 20:58:19
ocaml-mew-0.1.0-r3.apk68.6 KiB2024-03-23 20:58:19
ocaml-mew-dev-0.1.0-r3.apk95.9 KiB2024-03-23 20:58:19
ocaml-mew_vi-0.5.0-r3.apk182.2 KiB2024-03-23 20:58:19
ocaml-mew_vi-dev-0.5.0-r3.apk294.0 KiB2024-03-23 20:58:19
ocaml-mikmatch-1.0.9-r2.apk143.6 KiB2024-03-23 20:58:19
ocaml-mirage-clock-4.2.0-r2.apk29.7 KiB2024-03-23 20:58:19
ocaml-mirage-clock-dev-4.2.0-r2.apk34.7 KiB2024-03-23 20:58:19
ocaml-mirage-crypto-0.10.6-r3.apk1.2 MiB2024-03-23 20:58:19
ocaml-mirage-crypto-dev-0.10.6-r3.apk5.4 MiB2024-03-23 20:58:20
ocaml-mirage-flow-3.0.0-r3.apk108.4 KiB2024-03-23 20:58:20
ocaml-mirage-flow-dev-3.0.0-r3.apk192.8 KiB2024-03-23 20:58:20
ocaml-mirage-kv-4.0.1-r3.apk20.1 KiB2024-03-23 20:58:20
ocaml-mirage-kv-dev-4.0.1-r3.apk43.1 KiB2024-03-23 20:58:20
ocaml-mirage-net-4.0.0-r3.apk12.4 KiB2024-03-23 20:58:20
ocaml-mirage-net-dev-4.0.0-r3.apk19.8 KiB2024-03-23 20:58:20
ocaml-mirage-profile-0.9.1-r3.apk24.5 KiB2024-03-23 20:58:20
ocaml-mirage-profile-dev-0.9.1-r3.apk31.6 KiB2024-03-23 20:58:20
ocaml-mirage-random-3.0.0-r3.apk7.1 KiB2024-03-23 20:58:20
ocaml-mirage-random-dev-3.0.0-r3.apk5.4 KiB2024-03-23 20:58:20
ocaml-mirage-time-3.0.0-r4.apk12.3 KiB2024-03-23 20:58:20
ocaml-mirage-time-dev-3.0.0-r4.apk8.3 KiB2024-03-23 20:58:20
ocaml-mmap-1.2.0-r3.apk7.2 KiB2024-03-23 20:58:20
ocaml-mmap-dev-1.2.0-r3.apk6.5 KiB2024-03-23 20:58:20
ocaml-mqtt-0.2.2-r0.apk154.7 KiB2024-04-22 06:18:06
ocaml-mqtt-dev-0.2.2-r0.apk256.2 KiB2024-04-22 06:18:06
ocaml-mtime-1.4.0-r2.apk49.1 KiB2024-03-23 20:58:20
ocaml-mtime-dev-1.4.0-r2.apk47.4 KiB2024-03-23 20:58:20
ocaml-notty-0.2.3-r0.apk308.2 KiB2024-03-23 20:58:20
ocaml-notty-dev-0.2.3-r0.apk609.5 KiB2024-03-23 20:58:20
ocaml-num-1.4-r3.apk255.5 KiB2024-03-23 20:58:20
ocaml-num-dev-1.4-r3.apk91.9 KiB2024-03-23 20:58:20
ocaml-obuild-0.1.11-r0.apk1.0 MiB2024-03-23 20:58:20
ocaml-ocf-0.8.0-r3.apk7.4 MiB2024-03-23 20:58:21
ocaml-ocf-dev-0.8.0-r3.apk318.0 KiB2024-03-23 20:58:21
ocaml-ocp-indent-1.8.2-r2.apk530.5 KiB2024-03-23 20:58:21
ocaml-ocp-indent-dev-1.8.2-r2.apk638.4 KiB2024-03-23 20:58:21
ocaml-ocp-index-1.3.6-r0.apk450.8 KiB2024-03-23 20:58:21
ocaml-ocp-index-dev-1.3.6-r0.apk529.3 KiB2024-03-23 20:58:21
ocaml-ocplib-endian-1.2-r3.apk157.3 KiB2024-03-23 20:58:21
ocaml-ocplib-endian-dev-1.2-r3.apk259.2 KiB2024-03-23 20:58:21
ocaml-omake-0.10.6-r0.apk1.5 MiB2024-03-23 20:58:21
ocaml-omake-doc-0.10.6-r0.apk8.0 KiB2024-03-23 20:58:21
ocaml-omod-0.0.3-r3.apk327.4 KiB2024-03-23 20:58:21
ocaml-omod-bin-0.0.3-r3.apk2.5 MiB2024-03-23 20:58:22
ocaml-omod-dev-0.0.3-r3.apk210.8 KiB2024-03-23 20:58:22
ocaml-otoml-1.0.5-r0.apk437.4 KiB2024-04-22 06:18:06
ocaml-otoml-dev-1.0.5-r0.apk775.0 KiB2024-04-22 06:18:06
ocaml-otr-0.3.10-r2.apk277.8 KiB2024-03-23 20:58:22
ocaml-otr-dev-0.3.10-r2.apk518.7 KiB2024-03-23 20:58:22
ocaml-ounit-2.2.7-r3.apk529.5 KiB2024-03-23 20:58:22
ocaml-ounit-dev-2.2.7-r3.apk1.0 MiB2024-03-23 20:58:22
ocaml-parsexp-0.16.0-r0.apk380.2 KiB2024-03-23 20:58:22
ocaml-parsexp-dev-0.16.0-r0.apk926.7 KiB2024-03-23 20:58:22
ocaml-pbkdf-1.2.0-r2.apk16.9 KiB2024-03-23 20:58:22
ocaml-pbkdf-dev-1.2.0-r2.apk25.3 KiB2024-03-23 20:58:22
ocaml-pcre-7.5.0-r4.apk151.5 KiB2024-03-23 20:58:22
ocaml-pcre-dev-7.5.0-r4.apk302.4 KiB2024-03-23 20:58:22
ocaml-ppx_blob-0.8.0-r0.apk3.5 MiB2024-04-22 06:18:07
ocaml-ppx_blob-dev-0.8.0-r0.apk18.9 KiB2024-04-22 06:18:07
ocaml-ppx_derivers-1.2.1-r2.apk9.5 KiB2024-03-23 20:58:22
ocaml-ppx_derivers-dev-1.2.1-r2.apk11.3 KiB2024-03-23 20:58:22
ocaml-ppx_deriving-5.3.0-r0.apk4.7 MiB2024-03-23 20:58:22
ocaml-ppx_deriving-dev-5.3.0-r0.apk1.3 MiB2024-03-23 20:58:22
ocaml-ppx_sexp_conv-0.16.0-r0.apk560.3 KiB2024-03-23 20:58:22
ocaml-ppx_sexp_conv-dev-0.16.0-r0.apk1.1 MiB2024-03-23 20:58:22
ocaml-ppxlib-0.32.0-r0.apk12.4 MiB2024-03-23 20:58:23
ocaml-ppxlib-dev-0.32.0-r0.apk15.9 MiB2024-03-23 20:58:25
ocaml-psq-0.2.0-r2.apk94.7 KiB2024-03-23 20:58:25
ocaml-psq-dev-0.2.0-r2.apk171.2 KiB2024-03-23 20:58:25
ocaml-ptime-1.0.0-r2.apk112.5 KiB2024-03-23 20:58:25
ocaml-ptime-dev-1.0.0-r2.apk74.9 KiB2024-03-23 20:58:25
ocaml-ptmap-2.0.5-r3.apk53.4 KiB2024-03-23 20:58:25
ocaml-ptmap-dev-2.0.5-r3.apk93.9 KiB2024-03-23 20:58:25
ocaml-qcheck-0.18.1-r3.apk656.9 KiB2024-03-23 20:58:25
ocaml-qcheck-dev-0.18.1-r3.apk1.3 MiB2024-03-23 20:58:25
ocaml-qtest-2.11.2-r3.apk320.6 KiB2024-03-23 20:58:25
ocaml-qtest-dev-2.11.2-r3.apk3.6 KiB2024-03-23 20:58:25
ocaml-randomconv-0.1.3-r2.apk13.5 KiB2024-03-23 20:58:25
ocaml-randomconv-dev-0.1.3-r2.apk17.8 KiB2024-03-23 20:58:25
ocaml-re-1.11.0-r1.apk521.7 KiB2024-03-23 20:58:25
ocaml-re-dev-1.11.0-r1.apk970.4 KiB2024-03-23 20:58:25
ocaml-react-1.2.2-r2.apk279.7 KiB2024-03-23 20:58:25
ocaml-react-dev-1.2.2-r2.apk192.5 KiB2024-03-23 20:58:25
ocaml-reason-3.8.2-r1.apk15.1 MiB2024-03-23 20:58:26
ocaml-reason-dev-3.8.2-r1.apk28.5 MiB2024-03-23 20:58:28
ocaml-result-1.5-r2.apk9.2 KiB2024-03-23 20:58:28
ocaml-result-dev-1.5-r2.apk8.1 KiB2024-03-23 20:58:28
ocaml-rresult-0.7.0-r2.apk38.3 KiB2024-03-23 20:58:28
ocaml-rresult-dev-0.7.0-r2.apk35.2 KiB2024-03-23 20:58:28
ocaml-sedlex-3.2-r0.apk3.9 MiB2024-03-23 20:58:28
ocaml-sedlex-dev-3.2-r0.apk1.5 MiB2024-03-23 20:58:28
ocaml-seq-0.3.1-r2.apk13.9 KiB2024-03-23 20:58:28
ocaml-seq-dev-0.3.1-r2.apk20.0 KiB2024-03-23 20:58:28
ocaml-sexplib-0.16.0-r0.apk482.6 KiB2024-03-23 20:58:28
ocaml-sexplib-dev-0.16.0-r0.apk820.2 KiB2024-03-23 20:58:28
ocaml-sexplib0-0.16.0-r0.apk169.4 KiB2024-03-23 20:58:28
ocaml-sexplib0-dev-0.16.0-r0.apk334.6 KiB2024-03-23 20:58:28
ocaml-sha-1.15.4-r0.apk76.2 KiB2024-03-23 20:58:28
ocaml-sha-dev-1.15.4-r0.apk243.2 KiB2024-03-23 20:58:28
ocaml-ssl-0.7.0-r0.apk95.7 KiB2024-04-22 06:18:07
ocaml-ssl-dev-0.7.0-r0.apk204.4 KiB2024-04-22 06:18:07
ocaml-stdlib-shims-0.3.0-r2.apk4.2 KiB2024-03-23 20:58:28
ocaml-stk-0.1.0-r0.apk6.0 MiB2024-04-22 06:18:07
ocaml-stk-dev-0.1.0-r0.apk9.8 MiB2024-04-22 06:18:07
ocaml-stringext-1.6.0-r2.apk42.2 KiB2024-03-23 20:58:28
ocaml-stringext-dev-1.6.0-r2.apk77.2 KiB2024-03-23 20:58:28
ocaml-tcpip-7.1.2-r3.apk1.2 MiB2024-03-23 20:58:28
ocaml-tcpip-dev-7.1.2-r3.apk2.4 MiB2024-03-23 20:58:28
ocaml-tls-0.15.3-r4.apk1.2 MiB2024-03-23 20:58:29
ocaml-tls-dev-0.15.3-r4.apk2.3 MiB2024-03-23 20:58:29
ocaml-tophide-1.0.4-r2.apk5.7 KiB2024-03-23 20:58:29
ocaml-topkg-1.0.5-r2.apk604.5 KiB2024-03-23 20:58:29
ocaml-topkg-dev-1.0.5-r2.apk397.6 KiB2024-03-23 20:58:29
ocaml-trie-1.0.0-r2.apk15.8 KiB2024-03-23 20:58:29
ocaml-trie-dev-1.0.0-r2.apk25.4 KiB2024-03-23 20:58:29
ocaml-tsdl-1.0.0-r0.apk903.5 KiB2024-04-22 06:18:08
ocaml-tsdl-dev-1.0.0-r0.apk549.3 KiB2024-04-22 06:18:08
ocaml-tsdl-image-0.6-r0.apk47.0 KiB2024-04-22 06:18:08
ocaml-tsdl-image-dev-0.6-r0.apk76.5 KiB2024-04-22 06:18:08
ocaml-tsdl-ttf-0.6-r0.apk62.5 KiB2024-04-22 06:18:08
ocaml-tsdl-ttf-dev-0.6-r0.apk107.0 KiB2024-04-22 06:18:08
ocaml-uri-4.2.0-r2.apk1.4 MiB2024-03-23 20:58:29
ocaml-uri-dev-4.2.0-r2.apk4.3 MiB2024-03-23 20:58:29
ocaml-utop-2.9.1-r4.apk349.2 KiB2024-04-04 10:21:06
ocaml-utop-dev-2.9.1-r4.apk762.8 KiB2024-04-04 10:21:06
ocaml-uucd-14.0.0-r2.apk270.5 KiB2024-03-23 20:58:29
ocaml-uucd-dev-14.0.0-r2.apk160.9 KiB2024-03-23 20:58:29
ocaml-uucp-14.0.0-r2.apk4.9 MiB2024-03-23 20:58:29
ocaml-uucp-dev-14.0.0-r2.apk1.0 MiB2024-03-23 20:58:29
ocaml-uuidm-0.9.8-r2.apk44.4 KiB2024-03-23 20:58:29
ocaml-uuidm-dev-0.9.8-r2.apk23.7 KiB2024-03-23 20:58:29
ocaml-uuidm-tools-0.9.8-r2.apk429.8 KiB2024-03-23 20:58:29
ocaml-uunf-14.0.0-r2.apk1.1 MiB2024-03-23 20:58:29
ocaml-uunf-dev-14.0.0-r2.apk189.0 KiB2024-03-23 20:58:30
ocaml-uuseg-14.0.0-r2.apk91.7 KiB2024-03-23 20:58:30
ocaml-uuseg-dev-14.0.0-r2.apk48.1 KiB2024-03-23 20:58:30
ocaml-uuseg-tools-14.0.0-r2.apk1.2 MiB2024-03-23 20:58:30
ocaml-uutf-1.0.3-r2.apk605.4 KiB2024-03-23 20:58:30
ocaml-uutf-dev-1.0.3-r2.apk54.4 KiB2024-03-23 20:58:30
ocaml-x509-0.16.0-r2.apk818.3 KiB2024-03-23 20:58:30
ocaml-x509-dev-0.16.0-r2.apk1.5 MiB2024-03-23 20:58:30
ocaml-xml-light-2.5-r0.apk213.6 KiB2024-03-23 20:58:30
ocaml-xml-light-dev-2.5-r0.apk382.9 KiB2024-03-23 20:58:30
ocaml-xmlm-1.4.0-r2.apk544.2 KiB2024-03-23 20:58:30
ocaml-xmlm-dev-1.4.0-r2.apk95.5 KiB2024-03-23 20:58:30
ocaml-xtmpl-0.19.0-r0.apk8.3 MiB2024-04-22 06:18:08
ocaml-xtmpl-dev-0.19.0-r0.apk771.8 KiB2024-04-22 06:18:08
ocaml-yojson-2.1.2-r0.apk1.0 MiB2024-03-23 20:58:30
ocaml-yojson-dev-2.1.2-r0.apk1.6 MiB2024-03-23 20:58:30
ocaml-zed-3.1.0-r3.apk512.1 KiB2024-03-23 20:58:30
ocaml-zed-dev-3.1.0-r3.apk1.1 MiB2024-03-23 20:58:30
ocaml5-5.1.1-r0.apk18.4 MiB2023-12-07 16:09:57
ocaml5-compiler-libs-5.1.1-r0.apk9.8 MiB2023-12-07 16:09:57
ocaml5-doc-5.1.1-r0.apk864.7 KiB2023-12-07 16:09:57
ocaml5-ocamldoc-5.1.1-r0.apk1.7 MiB2023-12-07 16:09:57
ocaml5-runtime-5.1.1-r0.apk2.1 MiB2023-12-07 16:09:57
ocamlnet-4.1.9-r2.apk16.3 MiB2024-03-23 20:58:31
ocamlnet-dev-4.1.9-r2.apk5.5 MiB2024-03-23 20:58:31
ocamlnet-tcl-4.1.9-r2.apk49.9 KiB2024-03-23 20:58:31
ocfs2-tools-1.8.7-r2.apk1.2 MiB2023-05-16 12:37:26
ocfs2-tools-dev-1.8.7-r2.apk45.9 KiB2023-05-16 12:37:26
ocfs2-tools-doc-1.8.7-r2.apk69.8 KiB2023-05-16 12:37:26
ocp-indent-1.8.2-r2.apk1.1 MiB2024-03-23 20:58:31
ocp-indent-doc-1.8.2-r2.apk17.0 KiB2024-03-23 20:58:31
ocp-indent-emacs-1.8.2-r2.apk3.7 KiB2024-03-23 20:58:31
ocp-indent-vim-1.8.2-r2.apk2.4 KiB2024-03-23 20:58:31
ocp-index-1.3.6-r0.apk5.1 MiB2024-03-23 20:58:31
ocp-index-doc-1.3.6-r0.apk30.7 KiB2024-03-23 20:58:31
ocp-index-emacs-1.3.6-r0.apk6.3 KiB2024-03-23 20:58:31
ocp-index-vim-1.3.6-r0.apk3.1 KiB2024-03-23 20:58:31
octoprint-1.10.0-r0.apk3.1 MiB2024-04-25 01:23:34
octoprint-creality2xfix-0.0.4-r1.apk4.8 KiB2024-04-16 00:39:54
octoprint-creality2xfix-pyc-0.0.4-r1.apk3.4 KiB2024-04-16 00:39:54
octoprint-filecheck-2024.3.27-r0.apk28.0 KiB2024-04-16 00:39:54
octoprint-filecheck-pyc-2024.3.27-r0.apk11.4 KiB2024-04-16 00:39:54
octoprint-firmwarecheck-2021.10.11-r1.apk29.4 KiB2024-04-16 00:39:54
octoprint-firmwarecheck-pyc-2021.10.11-r1.apk17.7 KiB2024-04-16 00:39:54
octoprint-openrc-1.10.0-r0.apk1.8 KiB2024-04-25 01:23:34
octoprint-pisupport-2023.10.10-r0.apk30.9 KiB2024-04-16 00:39:54
octoprint-pisupport-pyc-2023.10.10-r0.apk12.9 KiB2024-04-16 00:39:54
octoprint-pyc-1.10.0-r0.apk1.2 MiB2024-04-25 01:23:34
odyssey-1.3-r2.apk127.6 KiB2023-10-04 00:04:03
odyssey-openrc-1.3-r2.apk2.0 KiB2023-10-04 00:04:03
oh-my-zsh-0_git20220104-r1.apk1020.7 KiB2023-02-10 00:05:49
oh-my-zsh-doc-0_git20220104-r1.apk7.2 KiB2023-02-10 00:05:49
oil-0.21.0-r0.apk1.4 MiB2024-03-15 23:09:45
oil-doc-0.21.0-r0.apk7.0 KiB2024-03-15 23:09:45
ol-2.4-r0.apk992.8 KiB2023-03-28 07:51:01
ol-doc-2.4-r0.apk2.6 KiB2023-03-28 07:51:01
olab-0.1.8-r0.apk3.3 MiB2024-04-22 06:18:08
olsrd-0.9.8-r2.apk182.3 KiB2022-10-25 00:07:54
olsrd-doc-0.9.8-r2.apk25.1 KiB2022-10-25 00:07:54
olsrd-openrc-0.9.8-r2.apk1.9 KiB2022-10-25 00:07:54
olsrd-plugins-0.9.8-r2.apk199.5 KiB2022-10-25 00:07:54
onioncat-4.11.0-r1.apk64.5 KiB2023-09-19 22:00:38
onioncat-doc-4.11.0-r1.apk19.2 KiB2023-09-19 22:00:38
opcr-policy-0.2.13-r0.apk8.4 MiB2024-05-04 11:11:28
opendht-3.1.7-r2.apk199.3 KiB2024-04-16 00:00:04
opendht-dev-3.1.7-r2.apk72.1 KiB2024-04-16 00:00:04
opendht-doc-3.1.7-r2.apk3.1 KiB2024-04-16 00:00:04
opendht-libs-3.1.7-r2.apk634.9 KiB2024-04-16 00:00:04
openfortivpn-1.21.0-r0.apk41.4 KiB2024-02-08 19:38:56
openfortivpn-doc-1.21.0-r0.apk6.3 KiB2024-02-08 19:38:56
openfpgaloader-0.11.0-r0.apk1.9 MiB2023-10-21 08:35:31
openmg-0.0.9-r0.apk104.8 KiB2023-04-30 01:19:55
openocd-esp32-0_git20230921-r4.apk1.7 MiB2023-12-05 20:08:31
openocd-esp32-dev-0_git20230921-r4.apk3.4 KiB2023-12-05 20:08:31
openocd-esp32-doc-0_git20230921-r4.apk3.3 KiB2023-12-05 20:08:31
openocd-esp32-udev-rules-0_git20230921-r4.apk3.4 KiB2023-12-05 20:08:31
openocd-git-0_git20240113-r0.apk1.6 MiB2024-01-17 08:44:53
openocd-git-cmd-openocd-0_git20240113-r0.apk1.5 KiB2024-01-17 08:44:53
openocd-git-dbg-0_git20240113-r0.apk3.7 MiB2024-01-17 08:44:53
openocd-git-dev-0_git20240113-r0.apk3.4 KiB2024-01-17 08:44:53
openocd-git-doc-0_git20240113-r0.apk3.3 KiB2024-01-17 08:44:53
openocd-git-udev-rules-0_git20240113-r0.apk3.4 KiB2024-01-17 08:44:53
openocd-riscv-0_git20230104-r1.apk1.5 MiB2023-05-03 20:59:46
openocd-riscv-dev-0_git20230104-r1.apk3.8 KiB2023-05-03 20:59:46
openocd-riscv-doc-0_git20230104-r1.apk3.3 KiB2023-05-03 20:59:46
openocd-riscv-udev-rules-0_git20230104-r1.apk3.3 KiB2023-05-03 20:59:46
openscap-daemon-0.1.10-r9.apk60.2 KiB2024-04-16 00:00:04
openscap-daemon-doc-0.1.10-r9.apk17.5 KiB2024-04-16 00:00:04
openscap-daemon-pyc-0.1.10-r9.apk101.9 KiB2024-04-16 00:00:04
openslide-3.4.1-r3.apk89.4 KiB2023-08-01 13:01:20
openslide-dev-3.4.1-r3.apk6.9 KiB2023-08-01 13:01:20
openslide-doc-3.4.1-r3.apk4.9 KiB2023-08-01 13:01:20
openslide-tools-3.4.1-r3.apk9.5 KiB2023-08-01 13:01:20
opensm-3.3.24-r2.apk464.9 KiB2023-05-16 12:37:26
opensm-dev-3.3.24-r2.apk218.8 KiB2023-05-16 12:37:26
opensm-doc-3.3.24-r2.apk37.9 KiB2023-05-16 12:37:26
opensm-openrc-3.3.24-r2.apk2.8 KiB2023-05-16 12:37:26
opensmtpd-filter-dkimsign-0.6-r1.apk16.1 KiB2022-08-04 11:51:15
opensmtpd-filter-dkimsign-doc-0.6-r1.apk3.6 KiB2022-08-04 11:51:15
openspades-0.1.3-r5.apk11.3 MiB2022-08-20 14:14:50
openspades-doc-0.1.3-r5.apk19.6 KiB2022-08-20 14:14:50
openssl1.1-compat-1.1.1w-r0.apk235.8 KiB2023-09-12 15:14:50
openssl1.1-compat-dbg-1.1.1w-r0.apk3.3 MiB2023-09-12 15:14:50
openssl1.1-compat-dev-1.1.1w-r0.apk261.4 KiB2023-09-12 15:14:50
openssl1.1-compat-libs-static-1.1.1w-r0.apk6.1 MiB2023-09-12 15:14:50
openswitcher-0.5.0-r3.apk148.4 KiB2024-04-16 00:00:04
openswitcher-proxy-0.5.0-r3.apk9.4 KiB2024-04-16 00:00:04
openswitcher-proxy-openrc-0.5.0-r3.apk2.1 KiB2024-04-16 00:00:04
opentelemetry-cpp-1.11.0-r2.apk595.5 KiB2023-11-15 18:10:15
opentelemetry-cpp-dev-1.11.0-r2.apk334.9 KiB2023-11-15 18:10:15
opentelemetry-cpp-exporter-otlp-common-1.11.0-r2.apk36.0 KiB2023-11-15 18:10:15
opentelemetry-cpp-exporter-otlp-grpc-1.11.0-r2.apk43.2 KiB2023-11-15 18:10:15
opentelemetry-cpp-exporter-otlp-http-1.11.0-r2.apk76.6 KiB2023-11-15 18:10:15
opentelemetry-cpp-exporter-zipkin-1.11.0-r2.apk52.4 KiB2023-11-15 18:10:15
openttd-13.4-r1.apk4.9 MiB2023-11-09 22:14:24
openttd-doc-13.4-r1.apk221.7 KiB2023-11-09 22:14:24
openttd-lang-13.4-r1.apk3.3 MiB2023-11-09 22:14:24
openttd-opengfx-7.1-r0.apk3.3 MiB2021-09-25 20:16:16
openttd-openmsx-0.4.2-r0.apk129.1 KiB2021-10-17 13:35:40
openttd-opensfx-1.0.3-r0.apk11.0 MiB2021-11-03 02:45:04
openvpn3-3.8.4-r0.apk417.1 KiB2024-02-17 18:30:34
openvpn3-dev-3.8.4-r0.apk685.8 KiB2024-02-17 18:30:34
openwsman-2.7.2-r4.apk49.5 KiB2024-04-16 00:00:04
openwsman-dev-2.7.2-r4.apk57.7 KiB2024-04-16 00:00:04
openwsman-doc-2.7.2-r4.apk2.4 KiB2024-04-16 00:00:04
openwsman-libs-2.7.2-r4.apk325.5 KiB2024-04-16 00:00:04
opkg-0.6.2-r0.apk9.8 KiB2023-11-28 07:33:10
opkg-dev-0.6.2-r0.apk106.1 KiB2023-11-28 07:33:10
opkg-doc-0.6.2-r0.apk7.6 KiB2023-11-28 07:33:10
opkg-libs-0.6.2-r0.apk80.1 KiB2023-11-28 07:33:10
opkg-utils-0.4.5-r1.apk24.7 KiB2022-10-25 00:07:54
opkg-utils-doc-0.4.5-r1.apk4.6 KiB2022-10-25 00:07:54
opmsg-1.84-r1.apk304.2 KiB2022-08-04 09:44:51
orage-4.18.0-r0.apk589.8 KiB2023-02-23 23:16:29
orage-lang-4.18.0-r0.apk1.2 MiB2023-02-23 23:16:29
oras-cli-1.1.0-r2.apk3.5 MiB2024-04-06 20:38:56
oras-cli-bash-completion-1.1.0-r2.apk5.1 KiB2024-04-06 20:38:56
oras-cli-fish-completion-1.1.0-r2.apk4.3 KiB2024-04-06 20:38:56
oras-cli-zsh-completion-1.1.0-r2.apk4.1 KiB2024-04-06 20:38:56
osmctools-0.9-r0.apk123.0 KiB2023-03-31 21:29:00
otf-atkinson-hyperlegible-2020.0514-r0.apk101.4 KiB2020-11-25 08:16:02
otf-atkinson-hyperlegible-doc-2020.0514-r0.apk47.5 KiB2020-11-25 08:16:02
otpclient-3.2.1-r1.apk121.6 KiB2023-11-15 18:10:15
otpclient-doc-3.2.1-r1.apk3.5 KiB2023-11-15 18:10:15
otrs-6.0.48-r1.apk28.7 MiB2024-01-15 09:45:23
otrs-apache2-6.0.48-r1.apk4.2 KiB2024-01-15 09:45:23
otrs-bash-completion-6.0.48-r1.apk2.4 KiB2024-01-15 09:45:23
otrs-dev-6.0.48-r1.apk3.9 MiB2024-01-15 09:45:23
otrs-doc-6.0.48-r1.apk795.2 KiB2024-01-15 09:45:23
otrs-fastcgi-6.0.48-r1.apk1.8 KiB2024-01-15 09:45:23
otrs-nginx-6.0.48-r1.apk1.8 KiB2024-01-15 09:45:23
otrs-openrc-6.0.48-r1.apk1.9 KiB2024-01-15 09:45:23
otrs-setup-6.0.48-r1.apk107.4 KiB2024-01-15 09:45:23
ouch-0.5.1-r0.apk1.1 MiB2023-12-24 19:09:32
ouch-bash-completion-0.5.1-r0.apk2.3 KiB2023-12-24 19:09:32
ouch-doc-0.5.1-r0.apk3.7 KiB2023-12-24 19:09:32
ouch-fish-completion-0.5.1-r0.apk2.4 KiB2023-12-24 19:09:32
ouch-zsh-completion-0.5.1-r0.apk2.9 KiB2023-12-24 19:09:32
ovn-24.03.1-r0.apk8.1 MiB2024-04-12 06:16:56
ovn-dbg-24.03.1-r0.apk22.7 MiB2024-04-12 06:16:57
ovn-dev-24.03.1-r0.apk9.3 MiB2024-04-12 06:16:57
ovn-doc-24.03.1-r0.apk511.9 KiB2024-04-12 06:16:57
ovn-openrc-24.03.1-r0.apk4.1 KiB2024-04-12 06:16:57
ovos-audio-0.0.2_alpha13-r0.apk16.3 KiB2024-03-29 11:26:49
ovos-audio-pyc-0.0.2_alpha13-r0.apk22.0 KiB2024-03-29 11:26:49
ovos-core-0.0.8_alpha24-r1.apk385.6 KiB2024-04-16 00:00:04
ovos-core-pyc-0.0.8_alpha24-r1.apk208.4 KiB2024-04-16 00:00:04
ovos-gui-0.0.3_alpha5-r1.apk40.4 KiB2024-04-16 00:00:04
ovos-gui-pyc-0.0.3_alpha5-r1.apk31.8 KiB2024-04-16 00:00:04
ovos-messagebus-0.0.3-r1.apk9.6 KiB2024-04-16 00:00:04
ovos-messagebus-pyc-0.0.3-r1.apk6.1 KiB2024-04-16 00:00:04
ovos-phal-0.0.5_alpha6-r1.apk10.4 KiB2024-04-16 00:00:04
ovos-phal-pyc-0.0.5_alpha6-r1.apk6.9 KiB2024-04-16 00:00:04
ovos-shell-0.0.1_git20230404-r2.apk897.3 KiB2023-10-08 13:24:19
ovos-skill-hello-world-0.0.4_alpha3-r1.apk45.6 KiB2024-04-16 00:00:04
ovos-skill-hello-world-pyc-0.0.4_alpha3-r1.apk4.0 KiB2024-04-16 00:00:04
ovos-skill-manager-0.0.13-r1.apk364.0 KiB2024-04-16 00:00:04
ovos-skill-manager-pyc-0.0.13-r1.apk90.5 KiB2024-04-16 00:00:04
ovpncc-0.1_rc1-r0.apk11.9 KiB2023-03-13 12:18:57
ovpncc-doc-0.1_rc1-r0.apk6.5 KiB2023-03-13 12:18:57
p0f-3.09b-r2.apk75.2 KiB2022-10-25 00:07:54
p0f-doc-3.09b-r2.apk25.3 KiB2022-10-25 00:07:54
p910nd-0.97-r2.apk8.7 KiB2022-06-04 12:38:30
p910nd-doc-0.97-r2.apk3.0 KiB2022-06-04 12:38:30
p910nd-openrc-0.97-r2.apk1.8 KiB2022-06-04 12:38:30
pacparser-1.4.3-r1.apk707.1 KiB2024-04-16 00:00:04
pacparser-dev-1.4.3-r1.apk3.6 KiB2024-04-16 00:00:04
pacparser-doc-1.4.3-r1.apk17.8 KiB2024-04-16 00:00:04
palp-2.20-r1.apk5.4 MiB2023-08-01 13:01:20
pam-krb5-4.11-r0.apk26.2 KiB2022-05-16 14:17:25
pam-krb5-doc-4.11-r0.apk23.4 KiB2022-05-16 14:17:25
pam_mount-2.20-r0.apk54.0 KiB2023-12-23 02:33:15
pam_mount-dev-2.20-r0.apk3.4 KiB2023-12-23 02:33:15
pam_mount-doc-2.20-r0.apk17.1 KiB2023-12-23 02:33:15
pam_sqlite3-1.0.2-r1.apk8.9 KiB2023-05-25 06:21:03
pamtester-0.1.2-r3.apk9.0 KiB2022-10-14 15:06:01
pamtester-doc-0.1.2-r3.apk2.9 KiB2022-10-14 15:06:01
pantalaimon-0.10.5-r4.apk44.8 KiB2024-04-16 00:00:04
pantalaimon-doc-0.10.5-r4.apk6.4 KiB2024-04-16 00:00:04
pantalaimon-pyc-0.10.5-r4.apk82.9 KiB2024-04-16 00:00:04
pantalaimon-ui-0.10.5-r4.apk1.7 KiB2024-04-16 00:00:04
paperde-0.2.1-r1.apk672.2 KiB2023-04-22 23:50:57
paperde-dev-0.2.1-r1.apk5.2 KiB2023-04-22 23:50:57
paperkey-1.6-r2.apk16.3 KiB2023-12-19 15:38:30
paperkey-doc-1.6-r2.apk4.5 KiB2023-12-19 15:38:30
paprefs-1.2-r1.apk32.8 KiB2023-08-01 04:58:17
paprefs-lang-1.2-r1.apk39.2 KiB2023-08-01 04:58:17
par-1.53.0-r1.apk13.9 KiB2022-10-25 00:07:54
par-doc-1.53.0-r1.apk30.3 KiB2022-10-25 00:07:54
par2cmdline-turbo-1.1.1-r0.apk382.8 KiB2023-12-01 01:11:47
par2cmdline-turbo-doc-1.1.1-r0.apk5.4 KiB2023-12-01 01:11:47
parcellite-1.2.4.0-r0.apk225.5 KiB2024-02-24 13:44:28
parcellite-doc-1.2.4.0-r0.apk24.9 KiB2024-02-24 13:44:28
parcellite-lang-1.2.4.0-r0.apk48.8 KiB2024-02-24 13:44:28
pash-2.3.0-r2.apk4.3 KiB2022-10-25 00:07:54
pass2csv-1.1.1-r1.apk8.4 KiB2024-04-16 00:00:04
pass2csv-pyc-1.1.1-r1.apk7.5 KiB2024-04-16 00:00:04
pastebinc-0.9.1-r2.apk12.0 KiB2022-10-25 00:07:54
pastel-0.9.0-r2.apk384.4 KiB2023-07-01 09:31:24
pastel-bash-completion-0.9.0-r2.apk3.0 KiB2023-07-01 09:31:24
pastel-fish-completion-0.9.0-r2.apk3.2 KiB2023-07-01 09:31:24
pastel-zsh-completion-0.9.0-r2.apk4.9 KiB2023-07-01 09:31:24
pcem-17-r2.apk1.7 MiB2022-09-12 20:11:12
pcsc-perl-1.4.16-r0.apk26.3 KiB2023-12-03 21:23:46
pcsc-perl-doc-1.4.16-r0.apk10.0 KiB2023-12-03 21:23:46
pcsc-tools-1.7.1-r0.apk181.6 KiB2023-12-31 20:27:23
pcsc-tools-doc-1.7.1-r0.apk6.0 KiB2023-12-31 20:27:23
pdal-python-plugins-1.2.1-r3.apk248.4 KiB2024-04-16 00:00:04
pdf2svg-0.2.3-r1.apk4.9 KiB2022-10-25 00:07:54
pdfcpu-0.7.0-r1.apk4.3 MiB2024-04-06 20:38:57
pdfcrack-0.20-r0.apk24.8 KiB2022-12-17 22:32:08
pdm-2.12.4-r1.apk210.3 KiB2024-04-16 00:00:04
pdm-pyc-2.12.4-r1.apk444.2 KiB2024-04-16 00:00:04
pebble-le-0.3.0-r1.apk66.2 KiB2024-04-22 19:33:05
pebble-le-dev-0.3.0-r1.apk39.9 KiB2024-04-22 19:33:05
pebble-le-doc-0.3.0-r1.apk3.7 KiB2024-04-22 19:33:05
peervpn-0.044-r5.apk43.0 KiB2022-08-04 09:44:51
peervpn-openrc-0.044-r5.apk1.8 KiB2022-08-04 09:44:51
peg-0.1.18-r1.apk40.0 KiB2022-10-25 00:07:54
peg-doc-0.1.18-r1.apk13.7 KiB2022-10-25 00:07:54
pegasus-frontend-13_alpha-r2.apk1.2 MiB2023-05-05 05:50:58
pegasus-frontend-doc-13_alpha-r2.apk16.4 KiB2023-05-05 05:50:58
pegtl-3.2.7-r0.apk83.6 KiB2023-09-21 20:56:37
pegtl-doc-3.2.7-r0.apk2.2 KiB2023-09-21 20:56:37
percona-toolkit-3.5.4-r0.apk1.8 MiB2023-08-13 16:27:34
percona-toolkit-doc-3.5.4-r0.apk297.5 KiB2023-08-13 16:27:34
perl-adapter-async-0.019-r0.apk8.1 KiB2024-01-17 12:16:26
perl-adapter-async-doc-0.019-r0.apk16.8 KiB2024-01-17 12:16:26
perl-algorithm-backoff-0.010-r0.apk9.6 KiB2024-04-16 11:28:26
perl-algorithm-backoff-doc-0.010-r0.apk29.5 KiB2024-04-16 11:28:26
perl-algorithm-c3-0.11-r1.apk5.7 KiB2023-07-04 00:04:33
perl-algorithm-c3-doc-0.11-r1.apk5.1 KiB2023-07-04 00:04:33
perl-algorithm-cron-0.10-r4.apk6.1 KiB2023-07-04 00:04:33
perl-algorithm-cron-doc-0.10-r4.apk4.6 KiB2023-07-04 00:04:33
perl-aliased-0.34-r4.apk5.7 KiB2023-07-04 00:04:33
perl-aliased-doc-0.34-r4.apk5.7 KiB2023-07-04 00:04:33
perl-anyevent-dns-etchosts-0.0105-r0.apk5.1 KiB2023-11-02 14:51:41
perl-anyevent-dns-etchosts-doc-0.0105-r0.apk4.0 KiB2023-11-02 14:51:41
perl-anyevent-future-0.05-r0.apk5.2 KiB2023-11-15 19:40:20
perl-anyevent-future-doc-0.05-r0.apk5.4 KiB2023-11-15 19:40:20
perl-anyevent-mocktcpserver-1.172150-r0.apk4.9 KiB2024-04-16 17:38:21
perl-anyevent-mocktcpserver-doc-1.172150-r0.apk4.6 KiB2024-04-16 17:38:21
perl-anyevent-mqtt-1.212810-r0.apk11.1 KiB2024-04-16 17:38:21
perl-anyevent-mqtt-doc-1.212810-r0.apk11.3 KiB2024-04-16 17:38:21
perl-anyevent-mqtt-monitor-1.212810-r0.apk4.5 KiB2024-04-16 17:38:21
perl-anyevent-riperedis-0.48-r0.apk12.4 KiB2024-01-15 14:29:51
perl-anyevent-riperedis-doc-0.48-r0.apk10.3 KiB2024-01-15 14:29:51
perl-anyevent-xmpp-0.55-r0.apk89.4 KiB2024-01-06 22:22:51
perl-anyevent-xmpp-doc-0.55-r0.apk118.1 KiB2024-01-06 22:22:51
perl-archive-extract-0.88-r1.apk15.7 KiB2023-07-04 00:04:33
perl-archive-extract-doc-0.88-r1.apk6.8 KiB2023-07-04 00:04:33
perl-autobox-3.0.1-r8.apk18.9 KiB2023-07-04 00:04:33
perl-autobox-doc-3.0.1-r8.apk8.9 KiB2023-07-04 00:04:33
perl-barcode-zbar-0.10-r2.apk31.1 KiB2023-07-04 00:04:33
perl-barcode-zbar-doc-0.10-r2.apk12.6 KiB2023-07-04 00:04:33
perl-bind-config-parser-0.01-r5.apk3.9 KiB2023-07-04 00:04:33
perl-bind-config-parser-doc-0.01-r5.apk3.6 KiB2023-07-04 00:04:33
perl-bsd-resource-1.2911-r9.apk20.2 KiB2023-12-17 22:57:37
perl-bsd-resource-doc-1.2911-r9.apk7.9 KiB2023-12-17 22:57:37
perl-bytes-random-secure-0.29-r0.apk14.3 KiB2024-01-15 21:04:10
perl-bytes-random-secure-doc-0.29-r0.apk12.2 KiB2024-01-15 21:04:10
perl-cache-lru-0.04-r0.apk3.0 KiB2024-01-15 21:04:10
perl-cache-lru-doc-0.04-r0.apk3.2 KiB2024-01-15 21:04:10
perl-cairo-1.109-r3.apk81.5 KiB2023-07-04 00:04:33
perl-cairo-doc-1.109-r3.apk13.9 KiB2023-07-04 00:04:33
perl-cairo-gobject-1.005-r3.apk6.9 KiB2023-07-04 00:04:33
perl-cairo-gobject-doc-1.005-r3.apk3.0 KiB2023-07-04 00:04:33
perl-cgi-expand-2.05-r4.apk6.9 KiB2023-07-04 00:04:33
perl-cgi-expand-doc-2.05-r4.apk6.2 KiB2023-07-04 00:04:33
perl-check-unitcheck-0.13-r0.apk6.2 KiB2024-01-17 12:16:26
perl-check-unitcheck-doc-0.13-r0.apk3.6 KiB2024-01-17 12:16:26
perl-class-accessor-grouped-0.10014-r2.apk12.0 KiB2023-07-04 00:04:33
perl-class-accessor-grouped-doc-0.10014-r2.apk7.5 KiB2023-07-04 00:04:33
perl-class-c3-0.35-r1.apk9.5 KiB2023-07-04 00:04:33
perl-class-c3-componentised-1.001002-r2.apk5.5 KiB2023-07-04 00:04:33
perl-class-c3-componentised-doc-1.001002-r2.apk5.3 KiB2023-07-04 00:04:33
perl-class-c3-doc-0.35-r1.apk9.3 KiB2023-07-04 00:04:33
perl-class-inner-0.200001-r5.apk4.0 KiB2023-12-17 22:57:37
perl-class-inner-doc-0.200001-r5.apk4.1 KiB2023-12-17 22:57:37
perl-clone-choose-0.010-r4.apk4.6 KiB2023-07-04 00:04:33
perl-clone-choose-doc-0.010-r4.apk4.2 KiB2023-07-04 00:04:33
perl-clone-pp-1.08-r1.apk4.6 KiB2023-07-04 00:04:33
perl-clone-pp-doc-1.08-r1.apk4.2 KiB2023-07-04 00:04:33
perl-color-ansi-util-0.165-r0.apk7.3 KiB2024-04-16 11:28:26
perl-color-ansi-util-doc-0.165-r0.apk5.2 KiB2024-04-16 11:28:26
perl-color-rgb-util-0.607-r0.apk9.4 KiB2024-04-16 11:28:26
perl-color-rgb-util-doc-0.607-r0.apk7.4 KiB2024-04-16 11:28:26
perl-conf-libconfig-1.0.0-r1.apk25.4 KiB2023-07-04 00:04:33
perl-conf-libconfig-doc-1.0.0-r1.apk5.5 KiB2023-07-04 00:04:33
perl-constant-defer-6-r5.apk7.4 KiB2023-07-04 00:04:33
perl-constant-defer-doc-6-r5.apk7.0 KiB2023-07-04 00:04:33
perl-constant-generate-0.17-r5.apk8.8 KiB2023-07-04 00:04:33
perl-constant-generate-doc-0.17-r5.apk7.0 KiB2023-07-04 00:04:33
perl-context-preserve-0.03-r4.apk3.9 KiB2023-07-04 00:04:33
perl-context-preserve-doc-0.03-r4.apk4.2 KiB2023-07-04 00:04:33
perl-cpan-changes-0.500003-r0.apk13.7 KiB2024-03-12 09:10:15
perl-cpan-changes-doc-0.500003-r0.apk18.3 KiB2024-03-12 09:10:15
perl-crypt-random-seed-0.03-r0.apk11.2 KiB2024-01-15 21:04:10
perl-crypt-random-seed-doc-0.03-r0.apk8.8 KiB2024-01-15 21:04:10
perl-crypt-saltedhash-0.09-r5.apk7.0 KiB2023-07-04 00:04:33
perl-crypt-saltedhash-doc-0.09-r5.apk6.4 KiB2023-07-04 00:04:33
perl-css-object-0.1.6-r0.apk22.9 KiB2024-02-24 12:55:41
perl-css-object-doc-0.1.6-r0.apk32.6 KiB2024-02-24 12:55:41
perl-curry-2.000001-r0.apk2.9 KiB2024-01-15 21:04:10
perl-curry-doc-2.000001-r0.apk3.4 KiB2024-01-15 21:04:10
perl-daemon-control-0.001010-r2.apk12.4 KiB2023-07-04 00:04:33
perl-daemon-control-doc-0.001010-r2.apk8.3 KiB2023-07-04 00:04:33
perl-dancer-plugin-auth-extensible-1.00-r5.apk15.3 KiB2023-07-04 00:04:33
perl-dancer-plugin-auth-extensible-doc-1.00-r5.apk14.8 KiB2023-07-04 00:04:33
perl-dancer-plugin-dbic-0.2104-r5.apk4.9 KiB2023-07-04 00:04:33
perl-dancer-plugin-dbic-doc-0.2104-r5.apk5.4 KiB2023-07-04 00:04:33
perl-dancer-plugin-passphrase-2.0.1-r4.apk9.7 KiB2023-07-04 00:04:33
perl-dancer-plugin-passphrase-doc-2.0.1-r4.apk8.5 KiB2023-07-04 00:04:33
perl-dancer-session-cookie-0.30-r2.apk5.5 KiB2023-07-04 00:04:33
perl-dancer-session-cookie-doc-0.30-r2.apk4.2 KiB2023-07-04 00:04:33
perl-data-dumper-concise-2.023-r4.apk5.8 KiB2023-07-04 00:04:33
perl-data-dumper-concise-doc-2.023-r4.apk8.4 KiB2023-07-04 00:04:33
perl-data-validate-domain-0.15-r0.apk5.8 KiB2024-01-14 12:54:56
perl-data-validate-domain-doc-0.15-r0.apk5.5 KiB2024-01-14 12:54:56
perl-data-validate-ip-0.31-r1.apk8.8 KiB2023-07-04 00:04:33
perl-data-validate-ip-doc-0.31-r1.apk5.9 KiB2023-07-04 00:04:33
perl-datetime-format-atom-1.6.0-r0.apk3.2 KiB2024-01-22 11:51:42
perl-datetime-format-atom-doc-1.6.0-r0.apk3.8 KiB2024-01-22 11:51:42
perl-datetime-format-flexible-0.34-r0.apk18.2 KiB2024-01-14 15:58:19
perl-datetime-format-flexible-doc-0.34-r0.apk12.3 KiB2024-01-14 15:58:19
perl-datetime-format-rfc3339-1.8.0-r0.apk4.4 KiB2024-01-22 11:51:42
perl-datetime-format-rfc3339-doc-1.8.0-r0.apk4.2 KiB2024-01-22 11:51:42
perl-datetime-timezone-alias-0.06-r0.apk2.5 KiB2024-02-24 12:55:41
perl-datetime-timezone-alias-doc-0.06-r0.apk7.6 KiB2024-02-24 12:55:41
perl-datetime-timezone-catalog-extend-0.3.1-r0.apk11.8 KiB2024-02-24 12:55:41
perl-datetime-timezone-catalog-extend-doc-0.3.1-r0.apk15.1 KiB2024-02-24 12:55:41
perl-dbicx-sugar-0.0200-r5.apk5.9 KiB2023-07-04 00:04:33
perl-dbicx-sugar-doc-0.0200-r5.apk5.3 KiB2023-07-04 00:04:33
perl-dbix-class-0.082843-r1.apk430.2 KiB2023-07-04 00:04:33
perl-dbix-class-candy-0.005003-r5.apk8.4 KiB2023-07-04 00:04:33
perl-dbix-class-candy-doc-0.005003-r5.apk9.6 KiB2023-07-04 00:04:33
perl-dbix-class-doc-0.082843-r1.apk345.4 KiB2023-07-04 00:04:33
perl-dbix-class-helpers-2.036000-r3.apk52.8 KiB2023-07-04 00:04:33
perl-dbix-class-helpers-doc-2.036000-r3.apk119.4 KiB2023-07-04 00:04:33
perl-dbix-datasource-0.02-r5.apk4.3 KiB2023-07-04 00:04:33
perl-dbix-datasource-doc-0.02-r5.apk7.5 KiB2023-07-04 00:04:33
perl-dbix-introspector-0.001005-r4.apk8.1 KiB2023-07-04 00:04:33
perl-dbix-introspector-doc-0.001005-r4.apk8.5 KiB2023-07-04 00:04:33
perl-devel-confess-0.009004-r0.apk11.4 KiB2024-02-24 12:55:41
perl-devel-confess-doc-0.009004-r0.apk6.7 KiB2024-02-24 12:55:41
perl-devel-leak-0.03-r12.apk7.2 KiB2023-07-04 00:04:33
perl-devel-leak-doc-0.03-r12.apk3.3 KiB2023-07-04 00:04:33
perl-devel-refcount-0.10-r0.apk6.3 KiB2024-01-17 12:17:46
perl-devel-refcount-doc-0.10-r0.apk4.3 KiB2024-01-17 12:17:46
perl-digest-bcrypt-1.212-r1.apk5.6 KiB2023-07-04 00:04:33
perl-digest-bcrypt-doc-1.212-r1.apk5.2 KiB2023-07-04 00:04:33
perl-digest-crc-0.24-r0.apk9.7 KiB2024-01-15 21:04:10
perl-digest-crc-doc-0.24-r0.apk3.2 KiB2024-01-15 21:04:10
perl-dns-unbound-0.29-r0.apk24.5 KiB2024-04-16 17:38:21
perl-dns-unbound-anyevent-0.29-r0.apk2.4 KiB2024-04-16 17:38:21
perl-dns-unbound-asyncquery-promisexs-0.29-r0.apk2.0 KiB2024-04-16 17:38:21
perl-dns-unbound-doc-0.29-r0.apk15.3 KiB2024-04-16 17:38:21
perl-dns-unbound-ioasync-0.29-r0.apk2.4 KiB2024-04-16 17:38:21
perl-dns-unbound-mojo-0.29-r0.apk2.7 KiB2024-04-16 17:38:21
perl-email-abstract-3.010-r0.apk7.7 KiB2023-09-03 11:12:19
perl-email-abstract-doc-3.010-r0.apk12.9 KiB2023-09-03 11:12:19
perl-email-mime-attachment-stripper-1.317-r5.apk4.0 KiB2023-07-04 00:04:33
perl-email-mime-attachment-stripper-doc-1.317-r5.apk3.8 KiB2023-07-04 00:04:33
perl-email-reply-1.204-r5.apk6.1 KiB2023-07-04 00:04:33
perl-email-reply-doc-1.204-r5.apk4.8 KiB2023-07-04 00:04:33
perl-ev-hiredis-0.07-r0.apk13.8 KiB2024-01-17 12:17:46
perl-ev-hiredis-doc-0.07-r0.apk4.2 KiB2024-01-17 12:17:46
perl-extutils-makemaker-7.70-r2.apk174.7 KiB2024-02-21 07:50:39
perl-extutils-xsbuilder-0.28-r5.apk43.1 KiB2023-07-04 00:04:33
perl-extutils-xsbuilder-doc-0.28-r5.apk21.1 KiB2023-07-04 00:04:33
perl-feed-find-0.13-r0.apk3.9 KiB2024-01-14 15:58:19
perl-feed-find-doc-0.13-r0.apk3.7 KiB2024-01-14 15:58:19
perl-ffi-platypus-2.08-r0.apk185.1 KiB2024-02-03 09:53:21
perl-ffi-platypus-doc-2.08-r0.apk146.8 KiB2024-02-03 09:53:21
perl-ffi-platypus-type-enum-0.06-r0.apk5.2 KiB2024-02-03 09:53:21
perl-ffi-platypus-type-enum-doc-0.06-r0.apk5.2 KiB2024-02-03 09:53:21
perl-file-mmagic-xs-0.09008-r3.apk31.2 KiB2023-07-04 00:04:33
perl-file-mmagic-xs-doc-0.09008-r3.apk4.2 KiB2023-07-04 00:04:33
perl-file-rename-2.02-r0.apk7.5 KiB2024-01-01 12:22:46
perl-file-rename-doc-2.02-r0.apk12.1 KiB2024-01-01 12:22:46
perl-flowd-0.9.1-r9.apk22.1 KiB2023-07-04 00:04:33
perl-flowd-doc-0.9.1-r9.apk3.2 KiB2023-07-04 00:04:33
perl-freezethaw-0.5001-r2.apk9.8 KiB2023-07-04 00:04:33
perl-freezethaw-doc-0.5001-r2.apk5.7 KiB2023-07-04 00:04:33
perl-future-http-0.17-r0.apk9.2 KiB2024-04-14 14:22:57
perl-future-http-doc-0.17-r0.apk15.7 KiB2024-04-14 14:22:57
perl-future-q-0.120-r0.apk9.6 KiB2024-01-19 00:23:05
perl-future-q-doc-0.120-r0.apk9.1 KiB2024-01-19 00:23:05
perl-future-queue-0.52-r0.apk4.1 KiB2024-01-15 21:04:10
perl-future-queue-doc-0.52-r0.apk4.3 KiB2024-01-15 21:04:10
perl-gearman-2.004.015-r3.apk27.5 KiB2024-01-04 01:19:49
perl-gearman-doc-2.004.015-r3.apk19.8 KiB2024-01-04 01:19:49
perl-getopt-long-descriptive-0.114-r0.apk15.1 KiB2024-01-27 21:43:46
perl-getopt-long-descriptive-doc-0.114-r0.apk11.1 KiB2024-01-27 21:43:46
perl-getopt-tabular-0.3-r4.apk23.2 KiB2023-07-04 00:04:33
perl-getopt-tabular-doc-0.3-r4.apk16.7 KiB2023-07-04 00:04:33
perl-git-raw-0.90-r0.apk182.5 KiB2024-02-05 15:38:49
perl-git-raw-doc-0.90-r0.apk118.5 KiB2024-02-05 15:38:49
perl-git-repository-1.325-r0.apk16.3 KiB2024-02-05 16:09:38
perl-git-repository-doc-1.325-r0.apk31.5 KiB2024-02-05 16:09:38
perl-git-version-compare-1.005-r0.apk5.4 KiB2024-02-05 16:09:38
perl-git-version-compare-doc-1.005-r0.apk4.9 KiB2024-02-05 16:09:38
perl-glib-ex-objectbits-16-r5.apk15.3 KiB2023-07-04 00:04:33
perl-glib-ex-objectbits-doc-16-r5.apk22.4 KiB2023-07-04 00:04:33
perl-glib-object-introspection-0.051-r0.apk63.5 KiB2023-08-29 11:36:21
perl-glib-object-introspection-doc-0.051-r0.apk11.1 KiB2023-08-29 11:36:22
perl-graphql-client-0.605-r0.apk7.1 KiB2024-01-18 23:46:12
perl-graphql-client-cli-0.605-r0.apk7.8 KiB2024-01-18 23:46:12
perl-graphql-client-doc-0.605-r0.apk14.0 KiB2024-01-18 23:46:12
perl-gtk2-1.24993-r5.apk932.2 KiB2023-07-04 00:04:33
perl-gtk2-doc-1.24993-r5.apk666.2 KiB2023-07-04 00:04:33
perl-gtk2-ex-listmodelconcat-11-r4.apk12.8 KiB2023-07-04 00:04:33
perl-gtk2-ex-listmodelconcat-doc-11-r4.apk7.3 KiB2023-07-04 00:04:33
perl-gtk2-ex-widgetbits-48-r3.apk65.7 KiB2023-07-04 00:04:33
perl-gtk2-ex-widgetbits-doc-48-r3.apk81.2 KiB2023-07-04 00:04:33
perl-gtk3-0.038-r1.apk19.6 KiB2023-07-04 00:04:33
perl-gtk3-doc-0.038-r1.apk9.2 KiB2023-07-04 00:04:33
perl-guard-1.023-r8.apk8.9 KiB2023-07-04 00:04:33
perl-guard-doc-1.023-r8.apk5.3 KiB2023-07-04 00:04:33
perl-hash-merge-0.302-r2.apk6.9 KiB2023-07-04 00:04:33
perl-hash-merge-doc-0.302-r2.apk5.6 KiB2023-07-04 00:04:33
perl-hash-ordered-0.014-r0.apk9.8 KiB2024-01-19 00:23:05
perl-hash-ordered-doc-0.014-r0.apk19.2 KiB2024-01-19 00:23:05
perl-html-object-0.5.0-r0.apk347.8 KiB2024-05-04 15:38:57
perl-html-object-doc-0.5.0-r0.apk469.8 KiB2024-05-04 15:38:57
perl-html-selector-xpath-0.28-r0.apk6.2 KiB2024-02-24 12:55:41
perl-html-selector-xpath-doc-0.28-r0.apk4.1 KiB2024-02-24 12:55:41
perl-html-tableextract-2.15-r4.apk17.7 KiB2023-07-04 00:04:33
perl-html-tableextract-doc-2.15-r4.apk9.9 KiB2023-07-04 00:04:33
perl-http-thin-0.006-r0.apk3.1 KiB2024-01-13 11:56:36
perl-http-thin-doc-0.006-r0.apk3.5 KiB2024-01-13 11:56:36
perl-http-xsheaders-0.400005-r0.apk21.0 KiB2024-02-24 12:55:41
perl-http-xsheaders-doc-0.400005-r0.apk6.3 KiB2024-02-24 12:55:41
perl-i18n-langinfo-wide-9-r4.apk4.2 KiB2023-07-04 00:04:33
perl-i18n-langinfo-wide-doc-9-r4.apk4.1 KiB2023-07-04 00:04:33
perl-indirect-0.39-r0.apk16.6 KiB2024-01-15 21:04:10
perl-indirect-doc-0.39-r0.apk6.4 KiB2024-01-15 21:04:10
perl-io-async-resolver-dns-0.06-r0.apk7.0 KiB2023-12-30 12:02:47
perl-io-async-resolver-dns-doc-0.06-r0.apk5.1 KiB2023-12-30 12:02:47
perl-io-lambda-1.33-r0.apk75.5 KiB2024-04-16 11:28:26
perl-io-lambda-doc-1.33-r0.apk67.9 KiB2024-04-16 11:28:26
perl-io-sessiondata-1.03-r3.apk5.8 KiB2023-07-04 00:04:33
perl-json-maybeutf8-2.000-r0.apk3.1 KiB2024-01-15 21:04:10
perl-json-maybeutf8-doc-2.000-r0.apk3.6 KiB2024-01-15 21:04:10
perl-json-path-1.0.6-r0.apk16.2 KiB2024-04-27 08:33:48
perl-json-path-doc-1.0.6-r0.apk12.6 KiB2024-04-27 08:33:48
perl-json-validator-5.14-r0.apk59.3 KiB2024-01-14 12:54:56
perl-json-validator-doc-5.14-r0.apk33.2 KiB2024-01-14 12:54:56
perl-libapreq2-2.17-r1.apk106.3 KiB2023-07-04 00:04:33
perl-libapreq2-dev-2.17-r1.apk56.5 KiB2023-07-04 00:04:33
perl-libapreq2-doc-2.17-r1.apk37.1 KiB2023-07-04 00:04:33
perl-libintl-perl-1.33-r1.apk316.4 KiB2023-07-04 00:04:33
perl-libintl-perl-doc-1.33-r1.apk566.7 KiB2023-07-04 00:04:33
perl-linux-pid-0.04-r12.apk4.9 KiB2023-07-04 00:04:33
perl-linux-pid-doc-0.04-r12.apk3.0 KiB2023-07-04 00:04:33
perl-list-binarysearch-0.25-r0.apk10.0 KiB2024-01-17 12:16:26
perl-list-binarysearch-doc-0.25-r0.apk11.5 KiB2024-01-17 12:16:26
perl-list-binarysearch-xs-0.09-r0.apk12.9 KiB2024-01-15 21:04:10
perl-list-binarysearch-xs-doc-0.09-r0.apk8.1 KiB2024-01-15 21:04:10
perl-log-fu-0.31-r4.apk10.5 KiB2023-07-04 00:04:33
perl-log-fu-doc-0.31-r4.apk7.3 KiB2023-07-04 00:04:33
perl-log-message-0.08-r3.apk10.6 KiB2023-07-04 00:04:33
perl-log-message-doc-0.08-r3.apk12.2 KiB2023-07-04 00:04:33
perl-log-message-simple-0.10-r3.apk4.2 KiB2023-07-04 00:04:33
perl-log-message-simple-doc-0.10-r3.apk4.0 KiB2023-07-04 00:04:33
perl-lv-0.006-r0.apk4.1 KiB2024-01-18 23:46:12
perl-lv-backend-magic-0.006-r0.apk2.1 KiB2024-01-18 23:46:12
perl-lv-backend-sentinel-0.006-r0.apk2.0 KiB2024-01-18 23:46:12
perl-lv-doc-0.006-r0.apk4.1 KiB2024-01-18 23:46:12
perl-lwp-online-1.08-r0.apk6.2 KiB2024-01-12 13:33:19
perl-lwp-online-doc-1.08-r0.apk5.7 KiB2024-01-12 13:33:19
perl-lwp-useragent-cached-0.08-r1.apk6.4 KiB2023-07-04 00:04:33
perl-lwp-useragent-cached-doc-0.08-r1.apk5.7 KiB2023-07-04 00:04:33
perl-mastodon-client-0.017-r0.apk22.1 KiB2024-01-13 11:56:36
perl-mastodon-client-doc-0.017-r0.apk33.0 KiB2024-01-13 11:56:36
perl-math-int64-0.57-r0.apk35.1 KiB2024-01-24 07:30:02
perl-math-int64-doc-0.57-r0.apk10.5 KiB2024-01-24 07:30:02
perl-math-libm-1.00-r13.apk10.8 KiB2023-07-04 00:04:33
perl-math-libm-doc-1.00-r13.apk3.2 KiB2023-07-04 00:04:33
perl-math-random-isaac-xs-1.004-r7.apk8.1 KiB2023-07-04 00:04:33
perl-math-random-isaac-xs-doc-1.004-r7.apk3.8 KiB2023-07-04 00:04:33
perl-mce-1.889-r0.apk164.8 KiB2023-09-14 10:35:27
perl-mce-doc-1.889-r0.apk138.5 KiB2023-09-14 10:35:27
perl-memory-process-0.06-r3.apk3.4 KiB2023-10-17 11:18:53
perl-memory-process-doc-0.06-r3.apk3.8 KiB2023-10-17 11:18:53
perl-memory-usage-0.201-r3.apk4.7 KiB2023-10-17 11:18:53
perl-memory-usage-doc-0.201-r3.apk5.5 KiB2023-10-17 11:18:53
perl-minion-10.29-r0.apk1.5 MiB2024-03-20 11:51:49
perl-minion-backend-pg-10.29-r0.apk9.6 KiB2024-03-20 11:51:49
perl-minion-backend-redis-0.003-r0.apk10.5 KiB2024-02-10 01:02:03
perl-minion-backend-redis-doc-0.003-r0.apk6.6 KiB2024-02-10 01:02:03
perl-minion-backend-sqlite-5.0.7-r0.apk10.1 KiB2024-02-10 01:02:03
perl-minion-backend-sqlite-doc-5.0.7-r0.apk6.8 KiB2024-02-10 01:02:03
perl-minion-doc-10.29-r0.apk48.9 KiB2024-03-20 11:51:49
perl-mixin-event-dispatch-2.000-r0.apk8.9 KiB2024-01-03 18:18:25
perl-mixin-event-dispatch-doc-2.000-r0.apk12.9 KiB2024-01-03 18:18:25
perl-module-build-prereqs-fromcpanfile-0.02-r0.apk3.4 KiB2024-01-19 00:23:05
perl-module-build-prereqs-fromcpanfile-doc-0.02-r0.apk3.8 KiB2024-01-19 00:23:05
perl-module-generic-0.37.1-r0.apk252.4 KiB2024-05-05 15:53:15
perl-module-generic-doc-0.37.1-r0.apk202.1 KiB2024-05-05 15:53:15
perl-mojo-pg-4.27-r0.apk16.5 KiB2024-01-17 12:16:26
perl-mojo-pg-doc-4.27-r0.apk19.6 KiB2024-01-17 12:16:26
perl-mojo-reactor-ioasync-1.002-r0.apk4.7 KiB2024-01-12 13:33:19
perl-mojo-reactor-ioasync-doc-1.002-r0.apk4.4 KiB2024-01-12 13:33:19
perl-mojo-redis-3.29-r0.apk25.2 KiB2024-01-12 13:33:19
perl-mojo-redis-doc-3.29-r0.apk24.6 KiB2024-01-12 13:33:19
perl-mojo-sqlite-3.009-r0.apk16.0 KiB2024-01-12 13:33:19
perl-mojo-sqlite-doc-3.009-r0.apk19.4 KiB2024-01-12 13:33:19
perl-mojolicious-plugin-openapi-5.09-r0.apk28.6 KiB2024-01-14 12:54:56
perl-mojolicious-plugin-openapi-doc-5.09-r0.apk33.8 KiB2024-01-14 12:54:56
perl-musicbrainz-discid-0.06-r0.apk9.7 KiB2023-08-22 17:28:20
perl-musicbrainz-discid-doc-0.06-r0.apk4.3 KiB2023-08-22 17:28:20
perl-net-address-ip-local-0.1.2-r0.apk3.5 KiB2024-01-15 21:04:10
perl-net-address-ip-local-doc-0.1.2-r0.apk3.5 KiB2024-01-15 21:04:10
perl-net-amqp-rabbitmq-2.40010-r2.apk86.8 KiB2023-07-04 00:04:33
perl-net-amqp-rabbitmq-doc-2.40010-r2.apk9.6 KiB2023-07-04 00:04:33
perl-net-async-xmpp-0.003-r0.apk6.4 KiB2024-01-17 02:17:55
perl-net-async-xmpp-doc-0.003-r0.apk9.9 KiB2024-01-17 02:17:55
perl-net-curl-0.56-r0.apk61.7 KiB2024-04-03 14:16:55
perl-net-curl-doc-0.56-r0.apk39.3 KiB2024-04-03 14:16:55
perl-net-curl-promiser-0.20-r0.apk8.9 KiB2024-02-05 16:12:33
perl-net-curl-promiser-anyevent-0.20-r0.apk2.7 KiB2024-02-05 16:12:33
perl-net-curl-promiser-doc-0.20-r0.apk11.6 KiB2024-02-05 16:12:33
perl-net-curl-promiser-ioasync-0.20-r0.apk3.0 KiB2024-02-05 16:12:33
perl-net-curl-promiser-mojo-0.20-r0.apk3.1 KiB2024-02-05 16:12:33
perl-net-idn-encode-2.500-r0.apk83.7 KiB2024-01-14 12:54:56
perl-net-idn-encode-doc-2.500-r0.apk21.7 KiB2024-01-14 12:54:56
perl-net-irr-0.10-r0.apk5.5 KiB2024-03-12 09:10:15
perl-net-irr-doc-0.10-r0.apk5.2 KiB2024-03-12 09:10:15
perl-net-jabber-2.0-r0.apk50.6 KiB2024-01-12 13:33:19
perl-net-jabber-bot-2.1.7-r0.apk13.5 KiB2024-01-12 13:33:19
perl-net-jabber-bot-doc-2.1.7-r0.apk7.6 KiB2024-01-12 13:33:19
perl-net-jabber-doc-2.0-r0.apk48.4 KiB2024-01-12 13:33:19
perl-net-libresolv-0.03-r0.apk7.7 KiB2023-12-30 12:02:47
perl-net-libresolv-doc-0.03-r0.apk4.1 KiB2023-12-30 12:02:47
perl-net-mqtt-1.163170-r0.apk12.8 KiB2024-04-16 17:38:21
perl-net-mqtt-doc-1.163170-r0.apk34.9 KiB2024-04-16 17:38:21
perl-net-mqtt-simple-1.29-r0.apk12.0 KiB2024-04-16 17:38:21
perl-net-mqtt-simple-doc-1.29-r0.apk11.5 KiB2024-04-16 17:38:21
perl-net-mqtt-simple-ssl-1.29-r0.apk3.4 KiB2024-04-16 17:38:21
perl-net-mqtt-trace-1.163170-r0.apk4.3 KiB2024-04-16 17:38:21
perl-net-netmask-2.0002-r2.apk13.8 KiB2024-01-07 20:13:21
perl-net-netmask-doc-2.0002-r2.apk8.5 KiB2024-01-07 20:13:21
perl-net-patricia-1.22-r11.apk22.1 KiB2023-07-04 00:04:33
perl-net-patricia-doc-1.22-r11.apk6.1 KiB2023-07-04 00:04:33
perl-net-pcap-0.21-r0.apk40.6 KiB2024-04-16 17:38:21
perl-net-pcap-doc-0.21-r0.apk15.8 KiB2024-04-16 17:38:21
perl-net-xmpp-1.05-r0.apk57.7 KiB2024-01-12 13:33:19
perl-net-xmpp-doc-1.05-r0.apk43.6 KiB2024-01-12 13:33:19
perl-netaddr-mac-0.98-r1.apk10.8 KiB2023-07-04 00:04:33
perl-netaddr-mac-doc-0.98-r1.apk8.0 KiB2023-07-04 00:04:33
perl-nice-try-1.3.10-r0.apk25.1 KiB2024-03-26 11:44:25
perl-nice-try-doc-1.3.10-r0.apk11.1 KiB2024-03-26 11:44:25
perl-number-format-1.76-r1.apk15.3 KiB2023-07-04 00:04:33
perl-number-format-doc-1.76-r1.apk9.0 KiB2023-07-04 00:04:33
perl-number-misc-1.2-r5.apk5.2 KiB2023-10-17 11:18:53
perl-number-misc-doc-1.2-r5.apk4.4 KiB2023-10-17 11:18:53
perl-number-tolerant-1.710-r0.apk14.8 KiB2023-08-10 11:42:55
perl-number-tolerant-doc-1.710-r0.apk25.6 KiB2023-08-10 11:42:55
perl-object-event-1.23-r0.apk9.5 KiB2024-01-03 18:47:14
perl-object-event-doc-1.23-r0.apk7.1 KiB2024-01-03 18:47:14
perl-openapi-client-1.07-r0.apk8.6 KiB2024-01-14 12:54:56
perl-openapi-client-doc-1.07-r0.apk7.4 KiB2024-01-14 12:54:56
perl-opentracing-1.006-r0.apk18.0 KiB2024-01-15 21:04:10
perl-opentracing-doc-1.006-r0.apk32.7 KiB2024-01-15 21:04:10
perl-pango-1.227-r10.apk86.8 KiB2023-07-04 00:04:33
perl-pango-doc-1.227-r10.apk81.4 KiB2023-07-04 00:04:33
perl-path-iter-0.2-r3.apk5.2 KiB2023-07-04 00:04:33
perl-path-iter-doc-0.2-r3.apk5.2 KiB2023-07-04 00:04:33
perl-perlio-locale-0.10-r11.apk4.7 KiB2023-07-04 00:04:33
perl-perlio-locale-doc-0.10-r11.apk3.1 KiB2023-07-04 00:04:33
perl-plack-middleware-expires-0.06-r3.apk3.9 KiB2023-07-04 00:04:33
perl-plack-middleware-expires-doc-0.06-r3.apk3.4 KiB2023-07-04 00:04:33
perl-plack-middleware-reverseproxy-0.16-r2.apk3.2 KiB2023-07-04 00:04:33
perl-plack-middleware-reverseproxy-doc-0.16-r2.apk3.1 KiB2023-07-04 00:04:33
perl-pod-cpandoc-0.16-r6.apk4.6 KiB2023-07-04 00:04:33
perl-pod-cpandoc-doc-0.16-r6.apk4.9 KiB2023-07-04 00:04:33
perl-pod-tidy-0.10-r1.apk10.4 KiB2023-07-04 00:04:33
perl-pod-tidy-doc-0.10-r1.apk10.6 KiB2023-07-04 00:04:33
perl-ppi-xs-0.910-r0.apk6.0 KiB2024-02-24 12:55:41
perl-ppi-xs-doc-0.910-r0.apk3.4 KiB2024-02-24 12:55:41
perl-proc-guard-0.07-r4.apk3.7 KiB2024-01-04 01:19:49
perl-proc-guard-doc-0.07-r4.apk3.5 KiB2024-01-04 01:19:49
perl-promise-es6-0.28-r0.apk10.7 KiB2024-01-19 00:23:05
perl-promise-es6-anyevent-0.28-r0.apk2.5 KiB2024-01-19 00:23:05
perl-promise-es6-doc-0.28-r0.apk12.1 KiB2024-01-19 00:23:05
perl-promise-es6-future-0.28-r0.apk2.3 KiB2024-01-19 00:23:05
perl-promise-es6-io-async-0.28-r0.apk3.0 KiB2024-01-19 00:23:05
perl-promise-es6-mojo-ioloop-0.28-r0.apk2.6 KiB2024-01-19 00:23:05
perl-promise-me-0.4.11-r0.apk25.5 KiB2024-04-27 08:33:48
perl-promise-me-doc-0.4.11-r0.apk12.0 KiB2024-04-27 08:33:48
perl-promise-xs-0.20-r0.apk25.7 KiB2024-01-19 00:23:05
perl-promise-xs-doc-0.20-r0.apk8.7 KiB2024-01-19 00:23:05
perl-protocol-redis-1.0011-r0.apk5.6 KiB2024-01-12 13:33:19
perl-protocol-redis-doc-1.0011-r0.apk5.1 KiB2024-01-12 13:33:19
perl-protocol-redis-faster-0.003-r0.apk3.4 KiB2024-01-12 13:33:19
perl-protocol-redis-faster-doc-0.003-r0.apk3.3 KiB2024-01-12 13:33:19
perl-protocol-xmpp-0.006-r0.apk16.8 KiB2024-01-17 02:17:55
perl-protocol-xmpp-doc-0.006-r0.apk50.8 KiB2024-01-17 02:17:55
perl-ref-util-xs-0.117-r7.apk10.9 KiB2023-07-04 00:04:33
perl-ref-util-xs-doc-0.117-r7.apk3.4 KiB2023-07-04 00:04:33
perl-regexp-grammars-1.058-r0.apk65.6 KiB2024-01-25 17:21:10
perl-regexp-grammars-doc-1.058-r0.apk47.8 KiB2024-01-25 17:21:10
perl-role-eventemitter-0.003-r0.apk3.7 KiB2024-01-13 11:56:36
perl-role-eventemitter-doc-0.003-r0.apk4.0 KiB2024-01-13 11:56:36
perl-rxperl-6.28.0-r0.apk26.1 KiB2024-01-19 00:23:05
perl-rxperl-anyevent-6.8.1-r0.apk2.7 KiB2024-01-19 03:47:02
perl-rxperl-anyevent-doc-6.8.1-r0.apk9.0 KiB2024-01-19 03:47:02
perl-rxperl-doc-6.28.0-r0.apk22.6 KiB2024-01-19 00:23:05
perl-rxperl-ioasync-6.9.1-r0.apk2.8 KiB2024-01-19 03:47:02
perl-rxperl-ioasync-doc-6.9.1-r0.apk9.0 KiB2024-01-19 03:47:02
perl-rxperl-mojo-6.8.1-r0.apk2.8 KiB2024-01-19 03:47:02
perl-rxperl-mojo-doc-6.8.1-r0.apk9.0 KiB2024-01-19 03:47:02
perl-scalar-readonly-0.03-r0.apk5.5 KiB2024-03-11 01:49:30
perl-scalar-readonly-doc-0.03-r0.apk3.4 KiB2024-03-11 01:49:30
perl-sentinel-0.07-r0.apk7.6 KiB2024-01-18 23:46:12
perl-sentinel-doc-0.07-r0.apk4.2 KiB2024-01-18 23:46:12
perl-session-storage-secure-1.000-r2.apk8.8 KiB2023-07-04 00:04:33
perl-session-storage-secure-doc-1.000-r2.apk7.4 KiB2023-07-04 00:04:33
perl-snmp-5.0404-r12.apk73.8 KiB2023-07-04 00:04:33
perl-snmp-doc-5.0404-r12.apk14.0 KiB2023-07-04 00:04:33
perl-snmp-info-3.970001-r0.apk335.4 KiB2024-04-02 23:44:31
perl-snmp-info-doc-3.970001-r0.apk374.3 KiB2024-04-02 23:44:31
perl-soap-lite-1.27-r5.apk110.2 KiB2023-07-04 00:04:33
perl-soap-lite-doc-1.27-r5.apk90.5 KiB2023-07-04 00:04:33
perl-sort-naturally-1.03-r4.apk8.7 KiB2023-07-04 00:04:33
perl-sort-naturally-doc-1.03-r4.apk5.5 KiB2023-07-04 00:04:33
perl-sort-versions-1.62-r0.apk3.7 KiB2024-02-10 01:02:03
perl-sort-versions-doc-1.62-r0.apk4.1 KiB2024-02-10 01:02:03
perl-sql-abstract-2.000001-r2.apk63.1 KiB2023-07-04 00:04:33
perl-sql-abstract-classic-1.91-r1.apk29.5 KiB2023-07-04 00:04:33
perl-sql-abstract-classic-doc-1.91-r1.apk20.2 KiB2023-07-04 00:04:33
perl-sql-abstract-doc-2.000001-r2.apk44.3 KiB2023-07-04 00:04:33
perl-sql-abstract-pg-1.0-r0.apk5.1 KiB2024-01-12 13:33:19
perl-sql-abstract-pg-doc-1.0-r0.apk4.6 KiB2024-01-12 13:33:19
perl-starman-0.4017-r0.apk13.4 KiB2023-09-14 10:35:27
perl-starman-doc-0.4017-r0.apk10.0 KiB2023-09-14 10:35:27
perl-statistics-descriptive-3.0801-r0.apk30.3 KiB2023-07-13 13:39:32
perl-statistics-descriptive-doc-3.0801-r0.apk37.5 KiB2023-07-13 13:39:32
perl-storable-improved-0.1.3-r0.apk6.7 KiB2024-02-24 12:55:41
perl-storable-improved-doc-0.1.3-r0.apk6.9 KiB2024-02-24 12:55:41
perl-string-camelcase-0.04-r2.apk3.2 KiB2023-07-04 00:04:33
perl-string-camelcase-doc-0.04-r2.apk3.5 KiB2023-07-04 00:04:33
perl-string-compare-constanttime-0.321-r5.apk7.6 KiB2023-07-04 00:04:33
perl-string-compare-constanttime-doc-0.321-r5.apk5.3 KiB2023-07-04 00:04:33
perl-string-crc32-2.100-r3.apk7.5 KiB2023-07-04 00:04:33
perl-string-crc32-doc-2.100-r3.apk3.4 KiB2023-07-04 00:04:33
perl-string-random-0.32-r2.apk7.9 KiB2024-01-07 20:13:21
perl-string-random-doc-0.32-r2.apk6.2 KiB2024-01-07 20:13:21
perl-syntax-keyword-match-0.14-r0.apk14.3 KiB2024-05-01 12:53:15
perl-syntax-keyword-match-doc-0.14-r0.apk7.8 KiB2024-05-01 12:53:15
perl-sys-syscall-0.25-r9.apk5.3 KiB2024-01-04 01:19:49
perl-sys-syscall-doc-0.25-r9.apk3.7 KiB2024-01-04 01:19:49
perl-sys-virt-10.2.0-r0.apk204.7 KiB2024-04-09 12:18:33
perl-sys-virt-doc-10.2.0-r0.apk98.8 KiB2024-04-09 12:18:33
perl-system-command-1.122-r0.apk11.8 KiB2024-02-05 16:09:38
perl-system-command-doc-1.122-r0.apk10.2 KiB2024-02-05 16:09:38
perl-template-plugin-csv-0.04-r3.apk2.7 KiB2023-07-04 00:04:33
perl-template-plugin-csv-doc-0.04-r3.apk3.0 KiB2023-07-04 00:04:33
perl-template-plugin-number-format-1.06-r4.apk4.9 KiB2023-07-04 00:04:33
perl-template-plugin-number-format-doc-1.06-r4.apk4.4 KiB2023-07-04 00:04:33
perl-term-size-0.211-r3.apk6.0 KiB2023-07-04 00:04:33
perl-term-size-doc-0.211-r3.apk3.8 KiB2023-07-04 00:04:33
perl-term-ui-0.50-r1.apk10.0 KiB2023-07-04 00:04:33
perl-term-ui-doc-0.50-r1.apk8.5 KiB2023-07-04 00:04:33
perl-test-api-0.010-r2.apk5.1 KiB2023-07-04 00:04:33
perl-test-api-doc-0.010-r2.apk4.3 KiB2023-07-04 00:04:33
perl-test-checkdeps-0.010-r0.apk3.5 KiB2024-01-03 18:18:25
perl-test-checkdeps-doc-0.010-r0.apk3.4 KiB2024-01-03 18:18:25
perl-test-class-tiny-0.03-r0.apk5.9 KiB2024-02-05 16:12:33
perl-test-class-tiny-doc-0.03-r0.apk5.4 KiB2024-02-05 16:12:33
perl-test-describeme-0.004-r0.apk3.6 KiB2024-04-16 17:38:21
perl-test-describeme-doc-0.004-r0.apk4.2 KiB2024-04-16 17:38:21
perl-test-distribution-2.00-r1.apk7.8 KiB2023-07-04 00:04:33
perl-test-distribution-doc-2.00-r1.apk6.1 KiB2023-07-04 00:04:33
perl-test-expander-2.5.0-r0.apk7.1 KiB2024-03-11 01:49:30
perl-test-expander-doc-2.5.0-r0.apk20.1 KiB2024-03-11 01:49:30
perl-test-file-1.993-r1.apk11.7 KiB2023-07-04 00:04:33
perl-test-file-doc-1.993-r1.apk6.8 KiB2023-07-04 00:04:33
perl-test-files-0.26-r0.apk6.7 KiB2024-03-11 01:49:30
perl-test-files-doc-0.26-r0.apk14.6 KiB2024-03-11 01:49:30
perl-test-lwp-useragent-0.036-r0.apk9.8 KiB2024-01-14 15:58:19
perl-test-lwp-useragent-doc-0.036-r0.apk8.3 KiB2024-01-14 15:58:19
perl-test-memorygrowth-0.04-r0.apk5.6 KiB2024-01-24 07:56:42
perl-test-memorygrowth-doc-0.04-r0.apk5.3 KiB2024-01-24 07:56:42
perl-test-modern-0.013-r3.apk14.6 KiB2023-07-04 00:04:33
perl-test-modern-doc-0.013-r3.apk9.9 KiB2023-07-04 00:04:33
perl-test-randomresult-0.001-r0.apk3.5 KiB2024-04-16 11:28:26
perl-test-randomresult-doc-0.001-r0.apk3.7 KiB2024-04-16 11:28:26
perl-test-requires-git-1.008-r0.apk4.8 KiB2024-02-05 16:09:38
perl-test-requires-git-doc-1.008-r0.apk4.4 KiB2024-02-05 16:09:38
perl-test-roo-1.004-r3.apk11.9 KiB2023-07-04 00:04:33
perl-test-roo-doc-1.004-r3.apk15.5 KiB2023-07-04 00:04:33
perl-test-settings-0.003-r0.apk4.9 KiB2024-04-16 17:38:21
perl-test-settings-doc-0.003-r0.apk6.0 KiB2024-04-16 17:38:21
perl-test-timer-2.12-r2.apk8.9 KiB2024-01-04 01:19:49
perl-test-timer-doc-2.12-r2.apk8.4 KiB2024-01-04 01:19:49
perl-test-toolbox-0.4-r5.apk9.9 KiB2023-10-17 11:18:53
perl-test-toolbox-doc-0.4-r5.apk6.2 KiB2023-10-17 11:18:53
perl-test-trap-0.3.5-r1.apk19.8 KiB2023-07-04 00:04:33
perl-test-trap-doc-0.3.5-r1.apk19.9 KiB2023-07-04 00:04:33
perl-test-unit-0.25-r4.apk37.1 KiB2023-12-17 22:57:37
perl-test-unit-doc-0.25-r4.apk48.1 KiB2023-12-17 22:57:37
perl-test-useallmodules-0.17-r1.apk3.8 KiB2023-07-04 00:04:33
perl-test-useallmodules-doc-0.17-r1.apk3.9 KiB2023-07-04 00:04:33
perl-test-utf8-1.02-r2.apk5.9 KiB2023-07-04 00:04:33
perl-test-utf8-doc-1.02-r2.apk4.9 KiB2023-07-04 00:04:33
perl-test2-tools-explain-0.02-r0.apk3.8 KiB2024-03-11 01:49:30
perl-test2-tools-explain-doc-0.02-r0.apk4.4 KiB2024-03-11 01:49:30
perl-text-brew-0.02-r5.apk4.5 KiB2023-07-04 00:04:33
perl-text-brew-doc-0.02-r5.apk4.1 KiB2023-07-04 00:04:33
perl-text-table-any-0.117-r0.apk8.1 KiB2024-02-25 18:26:34
perl-text-table-any-doc-0.117-r0.apk6.7 KiB2024-02-25 18:26:34
perl-text-table-sprintf-0.008-r0.apk5.4 KiB2024-02-25 18:26:34
perl-text-table-sprintf-doc-0.008-r0.apk5.2 KiB2024-02-25 18:26:34
perl-throwable-1.001-r1.apk6.2 KiB2023-07-04 00:04:33
perl-throwable-doc-1.001-r1.apk8.0 KiB2023-07-04 00:04:33
perl-tickit-widget-choice-0.07-r0.apk3.9 KiB2024-01-14 12:56:01
perl-tickit-widget-choice-doc-0.07-r0.apk3.4 KiB2024-01-14 12:56:01
perl-tickit-widget-entry-plugin-completion-0.02-r0.apk4.6 KiB2024-01-14 12:56:01
perl-tickit-widget-entry-plugin-completion-doc-0.02-r0.apk3.8 KiB2024-01-14 12:56:01
perl-tickit-widget-floatbox-0.11-r0.apk4.7 KiB2024-01-14 12:56:01
perl-tickit-widget-floatbox-doc-0.11-r0.apk4.2 KiB2024-01-14 12:56:01
perl-tickit-widget-menu-0.16-r0.apk7.2 KiB2024-01-14 12:56:01
perl-tickit-widget-menu-doc-0.16-r0.apk6.9 KiB2024-01-14 12:56:01
perl-tickit-widget-scrollbox-0.12-r0.apk8.0 KiB2024-01-14 12:56:01
perl-tickit-widget-scrollbox-doc-0.12-r0.apk6.5 KiB2024-01-14 12:56:01
perl-time-timegm-0.01-r8.apk6.8 KiB2023-07-04 00:04:33
perl-time-timegm-doc-0.01-r8.apk3.8 KiB2023-07-04 00:04:33
perl-types-path-tiny-0.006-r0.apk4.0 KiB2024-01-13 11:56:36
perl-types-path-tiny-doc-0.006-r0.apk4.1 KiB2024-01-13 11:56:36
perl-uri-db-0.22-r0.apk12.3 KiB2024-04-05 11:41:50
perl-uri-db-doc-0.22-r0.apk8.4 KiB2024-04-05 11:41:50
perl-uri-fetch-0.15-r0.apk7.1 KiB2024-01-14 15:58:19
perl-uri-fetch-doc-0.15-r0.apk7.5 KiB2024-01-14 15:58:19
perl-uri-nested-0.10-r0.apk4.0 KiB2024-01-12 13:33:19
perl-uri-nested-doc-0.10-r0.apk3.9 KiB2024-01-12 13:33:19
perl-uri-redis-0.02-r0.apk3.2 KiB2024-01-15 21:04:10
perl-uri-redis-doc-0.02-r0.apk4.6 KiB2024-01-15 21:04:10
perl-uri-tcp-2.0.0-r0.apk2.7 KiB2024-01-15 21:04:10
perl-uri-tcp-doc-2.0.0-r0.apk5.0 KiB2024-01-15 21:04:10
perl-url-encode-0.03-r4.apk5.2 KiB2023-07-04 00:04:33
perl-url-encode-doc-0.03-r4.apk4.7 KiB2023-07-04 00:04:33
perl-variable-disposition-0.005-r0.apk3.3 KiB2024-01-15 21:04:10
perl-variable-disposition-doc-0.005-r0.apk5.7 KiB2024-01-15 21:04:10
perl-x-tiny-0.22-r0.apk6.9 KiB2024-04-16 17:38:21
perl-x-tiny-doc-0.22-r0.apk7.6 KiB2024-04-16 17:38:21
perl-xml-atom-0.43-r0.apk19.6 KiB2024-01-14 15:58:19
perl-xml-atom-doc-0.43-r0.apk15.9 KiB2024-01-14 15:58:19
perl-xml-bare-0.53-r12.apk28.9 KiB2023-10-17 11:18:53
perl-xml-bare-doc-0.53-r12.apk11.4 KiB2023-10-17 11:18:53
perl-xml-feed-0.63-r0.apk13.9 KiB2024-01-14 15:58:19
perl-xml-feed-doc-0.63-r0.apk12.4 KiB2024-01-14 15:58:19
perl-xml-libxml-sax-chunkparser-0.00008-r0.apk3.0 KiB2024-01-03 18:18:25
perl-xml-libxml-sax-chunkparser-doc-0.00008-r0.apk3.1 KiB2024-01-03 18:18:25
perl-xml-rpc-2.0-r1.apk5.8 KiB2023-07-04 00:04:33
perl-xml-rpc-doc-2.0-r1.apk4.8 KiB2023-07-04 00:04:33
perl-xml-stream-1.24-r0.apk44.0 KiB2024-01-03 18:46:49
perl-xml-stream-doc-1.24-r0.apk17.7 KiB2024-01-03 18:46:49
persistent-cache-cpp-1.0.7-r1.apk51.7 KiB2024-04-22 19:33:05
persistent-cache-cpp-dev-1.0.7-r1.apk18.1 KiB2024-04-22 19:33:05
persistent-cache-cpp-doc-1.0.7-r1.apk3.2 KiB2024-04-22 19:33:05
pest-language-server-0.3.9-r0.apk1.1 MiB2024-04-08 20:23:04
pfetch-0.6.0-r1.apk16.1 KiB2022-10-25 00:07:55
pfqueue-0.5.6-r1.apk46.6 KiB2022-10-14 15:06:01
pfqueue-dev-0.5.6-r1.apk24.6 KiB2022-10-14 15:06:01
pfqueue-doc-0.5.6-r1.apk6.2 KiB2022-10-14 15:06:01
phonon-backend-vlc-0.12.0-r0.apk1.4 KiB2023-11-02 15:19:25
phonon-backend-vlc-lang-0.12.0-r0.apk17.1 KiB2023-11-02 15:19:25
phonon-backend-vlc-qt5-0.12.0-r0.apk121.4 KiB2023-11-02 15:19:25
phonon-backend-vlc-qt6-0.12.0-r0.apk156.6 KiB2023-11-02 15:19:25
phoronix-test-suite-10.8.4-r2.apk3.9 MiB2023-10-13 18:32:13
phoronix-test-suite-bash-completion-10.8.4-r2.apk1.8 KiB2023-10-13 18:32:13
phoronix-test-suite-doc-10.8.4-r2.apk287.5 KiB2023-10-13 18:32:13
php81-8.1.28-r0.apk1.8 MiB2024-04-12 00:01:48
php81-apache2-8.1.28-r0.apk1.8 MiB2024-04-12 00:01:48
php81-bcmath-8.1.28-r0.apk17.2 KiB2024-04-12 00:01:48
php81-bz2-8.1.28-r0.apk10.6 KiB2024-04-12 00:01:48
php81-calendar-8.1.28-r0.apk12.9 KiB2024-04-12 00:01:48
php81-cgi-8.1.28-r0.apk1.8 MiB2024-04-12 00:01:48
php81-common-8.1.28-r0.apk25.2 KiB2024-04-12 00:01:48
php81-ctype-8.1.28-r0.apk5.1 KiB2024-04-12 00:01:48
php81-curl-8.1.28-r0.apk38.4 KiB2024-04-12 00:01:48
php81-dba-8.1.28-r0.apk25.0 KiB2024-04-12 00:01:48
php81-dev-8.1.28-r0.apk950.6 KiB2024-04-12 00:01:48
php81-doc-8.1.28-r0.apk67.2 KiB2024-04-12 00:01:48
php81-dom-8.1.28-r0.apk64.5 KiB2024-04-12 00:01:48
php81-embed-8.1.28-r0.apk1.8 MiB2024-04-12 00:01:48
php81-enchant-8.1.28-r0.apk8.9 KiB2024-04-12 00:01:48
php81-exif-8.1.28-r0.apk30.4 KiB2024-04-12 00:01:48
php81-ffi-8.1.28-r0.apk68.4 KiB2024-04-12 00:01:48
php81-fileinfo-8.1.28-r0.apk383.2 KiB2024-04-12 00:01:48
php81-fpm-8.1.28-r0.apk1.9 MiB2024-04-12 00:01:49
php81-ftp-8.1.28-r0.apk24.6 KiB2024-04-12 00:01:49
php81-gd-8.1.28-r0.apk135.8 KiB2024-04-12 00:01:49
php81-gettext-8.1.28-r0.apk6.3 KiB2024-04-12 00:01:49
php81-gmp-8.1.28-r0.apk22.4 KiB2024-04-12 00:01:49
php81-iconv-8.1.28-r0.apk18.3 KiB2024-04-12 00:01:49
php81-imap-8.1.28-r0.apk34.9 KiB2024-04-12 00:01:49
php81-intl-8.1.28-r0.apk149.8 KiB2024-04-12 00:01:49
php81-ldap-8.1.28-r0.apk33.3 KiB2024-04-12 00:01:49
php81-litespeed-8.1.28-r0.apk1.8 MiB2024-04-12 00:01:49
php81-mbstring-8.1.28-r0.apk573.7 KiB2024-04-12 00:01:49
php81-mysqli-8.1.28-r0.apk41.7 KiB2024-04-12 00:01:49
php81-mysqlnd-8.1.28-r0.apk83.1 KiB2024-04-12 00:01:49
php81-odbc-8.1.28-r0.apk25.4 KiB2024-04-12 00:01:49
php81-opcache-8.1.28-r0.apk349.4 KiB2024-04-12 00:01:49
php81-openssl-8.1.28-r0.apk79.1 KiB2024-04-12 00:01:49
php81-pcntl-8.1.28-r0.apk14.4 KiB2024-04-12 00:01:49
php81-pdo-8.1.28-r0.apk43.6 KiB2024-04-12 00:01:49
php81-pdo_dblib-8.1.28-r0.apk12.7 KiB2024-04-12 00:01:49
php81-pdo_mysql-8.1.28-r0.apk14.0 KiB2024-04-12 00:01:49
php81-pdo_odbc-8.1.28-r0.apk13.5 KiB2024-04-12 00:01:49
php81-pdo_pgsql-8.1.28-r0.apk20.7 KiB2024-04-12 00:01:49
php81-pdo_sqlite-8.1.28-r0.apk13.9 KiB2024-04-12 00:01:49
php81-pear-8.1.28-r0.apk343.6 KiB2024-04-12 00:01:49
php81-pecl-amqp-2.1.2-r0.apk50.9 KiB2024-04-12 00:01:49
php81-pecl-apcu-5.1.23-r0.apk56.5 KiB2024-04-12 00:01:49
php81-pecl-ast-1.1.1-r0.apk19.1 KiB2024-04-12 00:01:49
php81-pecl-brotli-0.15.0-r0.apk11.3 KiB2024-04-12 00:01:49
php81-pecl-couchbase-4.2.1-r0.apk4.9 MiB2024-04-25 11:44:52
php81-pecl-csv-0.4.2-r0.apk10.5 KiB2022-06-03 05:54:08
php81-pecl-decimal-1.5.0-r1.apk18.9 KiB2024-04-12 00:01:49
php81-pecl-ds-1.5.0-r0.apk61.7 KiB2024-04-12 00:01:49
php81-pecl-event-3.1.3-r0.apk50.9 KiB2024-04-12 00:01:49
php81-pecl-grpc-1.62.0-r0.apk4.5 MiB2024-04-12 00:01:49
php81-pecl-igbinary-3.2.15-r0.apk33.1 KiB2024-04-12 00:01:49
php81-pecl-immutable_cache-6.1.0-r0.apk42.1 KiB2022-12-04 03:49:40
php81-pecl-jsmin-3.0.0-r0.apk10.7 KiB2023-09-17 21:55:29
php81-pecl-luasandbox-4.1.2-r0.apk31.4 KiB2024-04-12 00:01:49
php81-pecl-lzf-1.7.0-r0.apk7.4 KiB2024-04-12 00:01:49
php81-pecl-mailparse-3.1.6-r0.apk24.1 KiB2024-04-12 00:01:50
php81-pecl-maxminddb-1.11.1-r0.apk8.3 KiB2024-04-12 00:01:50
php81-pecl-mcrypt-1.0.7-r0.apk14.7 KiB2024-04-12 00:01:50
php81-pecl-memcache-8.2-r1.apk42.9 KiB2024-04-12 00:01:50
php81-pecl-mongodb-1.18.1-r0.apk791.2 KiB2024-04-14 14:22:57
php81-pecl-oauth-2.0.7-r0.apk38.0 KiB2022-05-10 20:35:52
php81-pecl-opentelemetry-1.0.3-r0.apk11.2 KiB2024-05-04 14:55:08
php81-pecl-pcov-1.0.11-r0.apk9.6 KiB2024-04-12 00:01:50
php81-pecl-protobuf-4.26.0-r0.apk138.0 KiB2024-04-12 00:01:50
php81-pecl-psr-1.2.0-r0.apk16.9 KiB2024-04-12 00:01:50
php81-pecl-rdkafka-6.0.3-r2.apk34.9 KiB2024-04-12 00:01:50
php81-pecl-smbclient-1.1.1-r1.apk21.0 KiB2024-04-12 00:01:50
php81-pecl-ssh2-1.4.1-r0.apk27.0 KiB2024-04-12 00:01:50
php81-pecl-timezonedb-2024.1-r0.apk185.5 KiB2024-04-12 00:01:50
php81-pecl-uploadprogress-2.0.2-r1.apk6.7 KiB2024-04-12 00:01:50
php81-pecl-uploadprogress-doc-2.0.2-r1.apk9.9 KiB2024-04-12 00:01:50
php81-pecl-uuid-1.2.0-r0.apk6.6 KiB2024-04-12 00:01:50
php81-pecl-xdebug-3.3.2-r0.apk142.3 KiB2024-04-15 15:07:07
php81-pecl-xhprof-2.3.9-r1.apk12.7 KiB2024-04-12 00:01:50
php81-pecl-xhprof-assets-2.3.9-r1.apk800.6 KiB2024-04-12 00:01:50
php81-pecl-xlswriter-1.5.5-r0.apk228.8 KiB2024-04-12 00:01:50
php81-pecl-xmlrpc-1.0.0_rc3-r1.apk37.5 KiB2023-04-30 01:19:56
php81-pecl-yaml-2.2.3-r1.apk18.9 KiB2024-04-12 00:01:50
php81-pecl-zephir_parser-1.6.0-r0.apk56.8 KiB2023-08-28 20:13:45
php81-pecl-zstd-0.13.3-r0.apk11.0 KiB2024-04-12 00:01:50
php81-pgsql-8.1.28-r0.apk47.6 KiB2024-04-12 00:01:50
php81-phar-8.1.28-r0.apk129.4 KiB2024-04-12 00:01:50
php81-phpdbg-8.1.28-r0.apk1.9 MiB2024-04-12 00:01:50
php81-posix-8.1.28-r0.apk11.8 KiB2024-04-12 00:01:50
php81-pspell-8.1.28-r0.apk8.4 KiB2024-04-12 00:01:50
php81-session-8.1.28-r0.apk38.7 KiB2024-04-12 00:01:50
php81-shmop-8.1.28-r0.apk6.4 KiB2024-04-12 00:01:50
php81-simplexml-8.1.28-r0.apk24.2 KiB2024-04-12 00:01:50
php81-snmp-8.1.28-r0.apk22.2 KiB2024-04-12 00:01:50
php81-soap-8.1.28-r0.apk142.8 KiB2024-04-12 00:01:50
php81-sockets-8.1.28-r0.apk38.1 KiB2024-04-12 00:01:50
php81-sodium-8.1.28-r0.apk26.6 KiB2024-04-12 00:01:50
php81-sqlite3-8.1.28-r0.apk22.3 KiB2024-04-12 00:01:50
php81-sysvmsg-8.1.28-r0.apk8.1 KiB2024-04-12 00:01:50
php81-sysvsem-8.1.28-r0.apk6.1 KiB2024-04-12 00:01:50
php81-sysvshm-8.1.28-r0.apk7.0 KiB2024-04-12 00:01:50
php81-tideways_xhprof-5.0.4-r1.apk14.2 KiB2022-05-10 20:35:52
php81-tidy-8.1.28-r0.apk19.9 KiB2024-04-12 00:01:50
php81-tokenizer-8.1.28-r0.apk11.9 KiB2024-04-12 00:01:50
php81-xml-8.1.28-r0.apk20.0 KiB2024-04-12 00:01:50
php81-xmlreader-8.1.28-r0.apk13.9 KiB2024-04-12 00:01:50
php81-xmlwriter-8.1.28-r0.apk11.9 KiB2024-04-12 00:01:50
php81-xsl-8.1.28-r0.apk14.0 KiB2024-04-12 00:01:50
php81-zip-8.1.28-r0.apk28.1 KiB2024-04-12 00:01:50
php82-pecl-apfd-1.0.3-r0.apk4.6 KiB2023-12-20 16:48:42
php82-pecl-excimer-1.2.1-r0.apk21.2 KiB2024-02-29 10:46:32
php82-pecl-immutable_cache-6.1.0-r0.apk42.3 KiB2022-12-04 03:49:40
php82-pecl-jsmin-3.0.0-r0.apk10.7 KiB2023-09-17 21:55:29
php82-pecl-oauth-2.0.8-r0.apk38.7 KiB2022-12-12 16:42:40
php82-pecl-phalcon-5.6.2-r0.apk1.8 MiB2024-03-14 22:35:08
php82-pecl-runkit7-4.0.0_alpha6-r1.apk29.9 KiB2024-03-29 11:26:49
php82-pecl-teds-1.3.0-r0.apk125.7 KiB2022-11-10 14:41:44
php82-pecl-vld-0.18.0-r0.apk16.6 KiB2022-09-16 11:33:58
php82-pecl-zephir_parser-1.6.0-r0.apk56.8 KiB2023-08-28 20:13:45
php82-snappy-0.2.1-r1.apk5.4 KiB2023-05-10 22:20:52
php83-pecl-apfd-1.0.3-r0.apk4.6 KiB2023-12-20 16:48:42
php83-pecl-eio-3.1.3-r0.apk28.7 KiB2024-03-02 22:38:08
php83-pecl-excimer-1.2.1-r0.apk21.2 KiB2024-02-29 10:46:32
php83-pecl-jsmin-3.0.0-r0.apk10.7 KiB2023-09-17 21:55:29
php83-pecl-phalcon-5.6.2-r0.apk1.8 MiB2024-03-14 22:35:08
php83-pecl-vld-0.18.0-r1.apk14.9 KiB2024-02-02 23:57:07
php83-pecl-zmq-1.1.4-r0.apk31.6 KiB2023-11-22 10:44:31
pick-4.0.0-r0.apk9.7 KiB2023-05-18 09:58:17
pick-doc-4.0.0-r0.apk3.3 KiB2023-05-18 09:58:17
pict-rs-0.5.13-r0.apk5.1 MiB2024-04-16 11:24:22
pict-rs-openrc-0.5.13-r0.apk1.9 KiB2024-04-16 11:24:22
pidif-0.1-r1.apk164.7 KiB2023-05-24 09:34:24
pimd-3.0_git20220201-r0.apk90.7 KiB2022-03-06 10:30:13
pimd-dense-2.1.0-r0.apk56.8 KiB2023-01-14 02:32:57
pimd-dense-doc-2.1.0-r0.apk19.7 KiB2023-01-14 02:32:57
pimd-dense-openrc-2.1.0-r0.apk1.9 KiB2023-01-14 02:32:57
pimd-doc-3.0_git20220201-r0.apk34.8 KiB2022-03-06 10:30:13
pimd-openrc-3.0_git20220201-r0.apk1.6 KiB2022-03-06 10:30:13
pinentry-bemenu-0.13.1-r0.apk8.6 KiB2024-03-22 14:23:25
pipectl-0.4.1-r1.apk6.4 KiB2023-02-01 19:42:24
pipectl-doc-0.4.1-r1.apk3.0 KiB2023-02-01 19:42:24
pipeline-1.14.5-r0.apk2.4 MiB2024-02-24 12:29:23
pipeline-doc-1.14.5-r0.apk13.6 KiB2024-02-24 12:29:23
pipeline-lang-1.14.5-r0.apk18.7 KiB2024-02-24 12:29:23
piping-server-0.18.0-r0.apk1.3 MiB2024-05-05 10:21:07
piping-server-openrc-0.18.0-r0.apk1.8 KiB2024-05-05 10:21:07
pithos-1.6.1-r0.apk104.5 KiB2023-05-30 21:22:12
pithos-doc-1.6.1-r0.apk2.1 KiB2023-05-30 21:22:12
pithos-pyc-1.6.1-r0.apk154.3 KiB2023-05-30 21:22:12
pitivi-2023.03-r1.apk2.2 MiB2024-04-16 16:14:26
pitivi-lang-2023.03-r1.apk679.9 KiB2024-04-16 16:14:26
pitivi-pyc-2023.03-r1.apk703.7 KiB2024-04-16 16:14:26
pixi-0.21.0-r0.apk8.3 MiB2024-05-06 21:35:40
pixi-bash-completion-0.21.0-r0.apk6.0 KiB2024-05-06 21:35:40
pixi-doc-0.21.0-r0.apk6.9 KiB2024-05-06 21:35:40
pixi-fish-completion-0.21.0-r0.apk8.4 KiB2024-05-06 21:35:40
pixi-zsh-completion-0.21.0-r0.apk8.8 KiB2024-05-06 21:35:40
pixiewps-1.4.2-r1.apk40.6 KiB2022-07-26 06:19:18
pixiewps-doc-1.4.2-r1.apk3.4 KiB2022-07-26 06:19:18
planarity-3.0.2.0-r2.apk9.8 KiB2023-08-01 13:01:20
planarity-dev-3.0.2.0-r2.apk19.3 KiB2023-08-01 13:01:20
planarity-doc-3.0.2.0-r2.apk12.9 KiB2023-08-01 13:01:20
planarity-libs-3.0.2.0-r2.apk77.6 KiB2023-08-01 13:01:20
planner-0.14.92-r0.apk359.8 KiB2024-01-08 00:12:30
planner-doc-0.14.92-r0.apk2.2 KiB2024-01-08 00:12:30
planner-lang-0.14.92-r0.apk826.9 KiB2024-01-08 00:12:30
platformio-core-6.1.7-r1.apk248.9 KiB2024-04-17 03:15:16
platformio-core-pyc-6.1.7-r1.apk551.8 KiB2024-04-17 03:15:16
please-0.4.2-r2.apk936.3 KiB2023-05-24 09:34:24
please-doc-0.4.2-r2.apk13.7 KiB2023-05-24 09:34:24
plfit-0.9.4-r2.apk49.3 KiB2023-08-01 13:01:20
plfit-dev-0.9.4-r2.apk6.3 KiB2023-08-01 13:01:20
plfit-libs-0.9.4-r2.apk35.0 KiB2023-08-01 13:01:20
plfit-static-0.9.4-r2.apk43.0 KiB2023-08-01 13:01:20
plib-1.8.5-r3.apk853.1 KiB2023-10-30 00:38:46
plots-0.7.0-r0.apk506.3 KiB2023-09-25 04:42:59
plplot-5.15.0-r2.apk31.3 KiB2022-10-25 00:07:55
plplot-dev-5.15.0-r2.apk59.0 KiB2022-10-25 00:07:55
plplot-doc-5.15.0-r2.apk310.6 KiB2022-10-25 00:07:55
plplot-libs-5.15.0-r2.apk215.8 KiB2022-10-25 00:07:55
plzip-1.11-r0.apk51.0 KiB2024-01-25 17:21:10
plzip-doc-1.11-r0.apk16.2 KiB2024-01-25 17:21:10
pmccabe-2.8-r1.apk22.3 KiB2022-10-25 00:07:55
pmccabe-doc-2.8-r1.apk7.1 KiB2022-10-25 00:07:55
pnmixer-0.7.2-r3.apk142.2 KiB2023-10-11 17:35:31
pnmixer-doc-0.7.2-r3.apk2.3 KiB2023-10-11 17:35:31
pnmixer-lang-0.7.2-r3.apk24.8 KiB2023-10-11 17:35:31
pnpm-9.0.6-r0.apk2.5 MiB2024-04-25 00:06:49
poke-4.0-r0.apk1.2 MiB2024-04-25 20:58:30
poke-doc-4.0-r0.apk198.2 KiB2024-04-25 20:58:30
pokoy-0.2.5-r0.apk11.1 KiB2023-05-22 21:30:02
pokoy-doc-0.2.5-r0.apk3.0 KiB2023-05-22 21:30:02
policycoreutils-3.6-r0.apk53.6 KiB2024-01-07 21:52:31
policycoreutils-bash-completion-3.6-r0.apk2.5 KiB2024-01-07 21:52:31
policycoreutils-doc-3.6-r0.apk22.7 KiB2024-01-07 21:52:31
policycoreutils-lang-3.6-r0.apk108.3 KiB2024-01-07 21:52:31
polyglot-2.0.4-r1.apk65.6 KiB2023-08-01 13:01:20
polyglot-doc-2.0.4-r1.apk47.6 KiB2023-08-01 13:01:20
pomo-0.8.1-r15.apk1.5 MiB2024-04-06 20:38:57
pomo-doc-0.8.1-r15.apk2.7 KiB2024-04-06 20:38:57
pongoos-loader-0_git20210704-r1.apk2.4 KiB2022-10-25 00:07:55
popeye-0.21.3-r0.apk24.5 MiB2024-05-04 11:32:22
portsmf-239-r1.apk63.2 KiB2023-03-17 18:52:15
portsmf-dev-239-r1.apk20.3 KiB2023-03-17 18:52:15
postgresql-pg_graphql-1.4.2-r0.apk573.1 KiB2023-12-18 22:19:54
postgresql-pg_later-0.0.14-r0.apk637.2 KiB2024-01-31 01:40:48
postgresql-pg_partman-5.0.0-r0.apk971.3 KiB2023-12-17 22:57:37
postgresql-pg_partman-bitcode-5.0.0-r0.apk21.9 KiB2023-12-17 22:57:37
postgresql-pg_partman-doc-5.0.0-r0.apk47.4 KiB2023-12-17 22:57:37
postgresql-pg_partman-scripts-5.0.0-r0.apk7.7 KiB2023-12-17 22:57:37
postgresql-pg_variables-1.2.4_git20220909-r2.apk26.0 KiB2023-10-04 00:04:03
postgresql-pg_variables-bitcode-1.2.4_git20220909-r2.apk52.3 KiB2023-10-04 00:04:03
postgresql-pgmq-1.1.1-r0.apk277.3 KiB2024-01-27 23:27:44
pounce-3.1-r3.apk28.9 KiB2024-01-03 13:57:43
pounce-doc-3.1-r3.apk8.6 KiB2024-01-03 13:57:43
pounce-openrc-3.1-r3.apk2.9 KiB2024-01-03 13:57:43
powder-toy-97.0.352-r0.apk852.0 KiB2023-05-06 20:12:48
power-profiles-daemon-0.20-r1.apk32.7 KiB2024-04-02 07:42:34
powerline-extra-symbols-0_git20191017-r0.apk287.4 KiB2021-03-22 14:35:38
powerline-extra-symbols-doc-0_git20191017-r0.apk2.4 KiB2021-03-22 14:35:38
powerstat-0.04.01-r0.apk20.5 KiB2024-01-03 13:00:13
powerstat-bash-completion-0.04.01-r0.apk2.3 KiB2024-01-03 13:00:13
powerstat-doc-0.04.01-r0.apk4.3 KiB2024-01-03 13:00:13
powersupply-0.9.0-r0.apk12.6 KiB2024-01-08 00:12:30
ppl-1.2-r1.apk40.4 KiB2023-05-16 12:37:27
ppl-dev-1.2-r1.apk607.5 KiB2023-05-16 12:37:27
ppl-doc-1.2-r1.apk9.1 MiB2023-05-16 12:37:28
pptpclient-1.10.0-r4.apk33.2 KiB2023-07-04 00:04:33
pptpclient-doc-1.10.0-r4.apk7.2 KiB2023-07-04 00:04:33
pqiv-2.12-r1.apk71.8 KiB2022-10-25 00:07:55
pqiv-doc-2.12-r1.apk12.0 KiB2022-10-25 00:07:55
primecount-7.13-r0.apk32.1 KiB2024-05-01 17:12:04
primecount-dev-7.13-r0.apk2.6 MiB2024-05-01 17:12:04
primecount-doc-7.13-r0.apk3.8 KiB2024-05-01 17:12:04
primecount-libs-7.13-r0.apk155.8 KiB2024-05-01 17:12:04
primesieve-12.3-r0.apk51.5 KiB2024-05-01 17:12:04
primesieve-dev-12.3-r0.apk1.3 MiB2024-05-01 17:12:04
primesieve-doc-12.3-r0.apk4.0 KiB2024-05-01 17:12:04
primesieve-libs-12.3-r0.apk114.4 KiB2024-05-01 17:12:04
prjtrellis-1.4-r2.apk1.3 MiB2024-04-22 19:33:05
prjtrellis-db-0_git20230929-r0.apk3.3 KiB2024-01-12 04:58:14
prjtrellis-db-ecp5-0_git20230929-r0.apk2.1 MiB2024-01-12 04:58:14
prjtrellis-db-machxo-0_git20230929-r0.apk39.2 KiB2024-01-12 04:58:14
prjtrellis-db-machxo2-0_git20230929-r0.apk1013.4 KiB2024-01-12 04:58:14
prjtrellis-db-machxo3-0_git20230929-r0.apk1.1 MiB2024-01-12 04:58:14
prjtrellis-db-machxo3d-0_git20230929-r0.apk747.7 KiB2024-01-12 04:58:14
projectm-3.1.12-r1.apk454.5 KiB2022-08-20 14:14:51
projectm-dev-3.1.12-r1.apk562.3 KiB2022-08-20 14:14:52
projectm-presets-3.1.12-r1.apk4.4 MiB2022-08-20 14:14:52
projectm-pulseaudio-3.1.12-r1.apk430.4 KiB2022-08-20 14:14:52
projectm-pulseaudio-doc-3.1.12-r1.apk2.0 KiB2022-08-20 14:14:52
projectm-sdl-3.1.12-r1.apk333.9 KiB2022-08-20 14:14:52
projectsandcastle-loader-0_git20200307-r1.apk4.9 KiB2022-10-25 00:07:55
prometheus-bind-exporter-0.7.0-r3.apk4.4 MiB2024-04-06 20:38:58
prometheus-bind-exporter-openrc-0.7.0-r3.apk1.9 KiB2024-04-06 20:38:58
prometheus-podman-exporter-1.11.0-r0.apk14.9 MiB2024-04-28 19:33:04
prometheus-rethinkdb-exporter-1.0.1-r20.apk3.9 MiB2024-04-06 20:38:59
prometheus-rethinkdb-exporter-openrc-1.0.1-r20.apk1.7 KiB2024-04-06 20:38:59
prometheus-smartctl-exporter-0.12.0-r2.apk4.1 MiB2024-04-06 20:38:59
prometheus-smartctl-exporter-openrc-0.12.0-r2.apk1.9 KiB2024-04-06 20:38:59
prometheus-smokeping-prober-0.7.1-r4.apk4.3 MiB2024-04-06 20:39:00
prometheus-smokeping-prober-openrc-0.7.1-r4.apk2.1 KiB2024-04-06 20:39:00
prometheus-unbound-exporter-0.4.1-r5.apk3.4 MiB2024-04-06 20:39:00
prometheus-unbound-exporter-openrc-0.4.1-r5.apk2.0 KiB2024-04-06 20:39:00
proot-5.4.0-r0.apk79.2 KiB2023-05-31 23:28:31
proot-doc-5.4.0-r0.apk9.7 KiB2023-05-31 23:28:31
proot-static-5.4.0-r0.apk112.4 KiB2023-05-31 23:28:31
prosody-mod-auth_ldap-0.11_hg20201208-r0.apk2.8 KiB2020-12-08 22:28:47
prosody-mod-auth_pam-0.11_hg20201208-r0.apk1.8 KiB2020-12-08 22:28:47
prosody-mod-auth_sql-0.11_hg20201208-r0.apk2.6 KiB2020-12-08 22:28:47
prosody-mod-block_registrations-0.11_hg20201208-r0.apk1.8 KiB2020-12-08 22:28:47
prosody-mod-bookmarks-0.11_hg20201208-r0.apk3.1 KiB2020-12-08 22:28:47
prosody-mod-broadcast-0.11_hg20201208-r0.apk1.8 KiB2020-12-08 22:28:47
prosody-mod-c2s_conn_throttle-0.11_hg20201208-r0.apk2.0 KiB2020-12-08 22:28:47
prosody-mod-cloud_notify-0.11_hg20201208-r0.apk7.2 KiB2020-12-08 22:28:47
prosody-mod-conversejs-0.11_hg20201208-r0.apk3.3 KiB2020-12-08 22:28:47
prosody-mod-host_guard-0.11_hg20201208-r0.apk2.8 KiB2020-12-08 22:28:47
prosody-mod-http_upload_external-0.11_hg20201208-r0.apk2.9 KiB2020-12-08 22:28:47
prosody-mod-ipcheck-0.11_hg20201208-r0.apk2.0 KiB2020-12-08 22:28:47
prosody-mod-log_auth-0.11_hg20201208-r0.apk1.8 KiB2020-12-08 22:28:47
prosody-mod-log_slow_events-0.11_hg20201208-r0.apk2.2 KiB2020-12-08 22:28:47
prosody-mod-mam-0.11_hg20201208-r0.apk5.9 KiB2020-12-08 22:28:47
prosody-mod-mam_muc-0.11_hg20201208-r0.apk5.6 KiB2020-12-08 22:28:47
prosody-mod-muc_cloud_notify-0.11_hg20201208-r0.apk6.9 KiB2020-12-08 22:28:47
prosody-mod-pastebin-0.11_hg20201208-r0.apk3.7 KiB2020-12-08 22:28:47
prosody-mod-register_json-0.11_hg20201208-r0.apk103.5 KiB2020-12-08 22:28:47
prosody-mod-register_redirect-0.11_hg20201208-r0.apk2.7 KiB2020-12-08 22:28:47
prosody-mod-reload_modules-0.11_hg20201208-r0.apk2.0 KiB2020-12-08 22:28:47
prosody-mod-require_otr-0.11_hg20201208-r0.apk1.7 KiB2020-12-08 22:28:47
prosody-mod-s2s_idle_timeout-0.11_hg20201208-r0.apk2.0 KiB2020-12-08 22:28:47
prosody-mod-saslname-0.11_hg20201208-r0.apk1.6 KiB2020-12-08 22:28:47
prosody-mod-server_status-0.11_hg20201208-r0.apk2.8 KiB2020-12-08 22:28:47
prosody-mod-smacks-0.11_hg20201208-r0.apk8.6 KiB2020-12-08 22:28:47
prosody-mod-stanza_counter-0.11_hg20201208-r0.apk2.0 KiB2020-12-08 22:28:47
prosody-mod-support_contact-0.11_hg20201208-r0.apk2.0 KiB2020-12-08 22:28:47
prosody-mod-vcard_muc-0.11_hg20201208-r0.apk2.7 KiB2020-12-08 22:28:47
prosody-mod-webpresence-0.11_hg20201208-r0.apk2.6 KiB2020-12-08 22:28:47
prosody-modules-0.11_hg20201208-r0.apk1.5 KiB2020-12-08 22:28:47
protoc-gen-go-1.34.0-r0.apk1.8 MiB2024-05-01 19:28:40
protoconf-0.1.7-r5.apk7.4 MiB2024-04-06 20:39:00
psftools-1.1.1-r0.apk202.9 KiB2022-01-11 20:17:08
psftools-dev-1.1.1-r0.apk43.4 KiB2022-01-11 20:17:08
psftools-doc-1.1.1-r0.apk52.4 KiB2022-01-11 20:17:08
psi-notify-1.3.1-r0.apk11.8 KiB2023-04-09 12:03:25
psi-plus-1.5.1653-r0.apk8.8 MiB2024-02-23 23:04:24
psi-plus-plugins-1.5.1653-r0.apk1.9 MiB2024-02-23 23:04:24
pspp-1.4.1-r3.apk7.8 MiB2023-07-04 00:04:33
pspp-dbg-1.4.1-r3.apk3.4 MiB2023-07-04 00:04:33
pspp-doc-1.4.1-r3.apk421.9 KiB2023-07-04 00:04:33
ptpd-2.3.1-r1.apk180.3 KiB2022-10-25 00:07:55
ptpd-doc-2.3.1-r1.apk20.3 KiB2022-10-25 00:07:55
ptpd-openrc-2.3.1-r1.apk2.4 KiB2022-10-25 00:07:55
ptylie-0.2-r1.apk12.2 KiB2022-10-25 00:07:55
ptylie-doc-0.2-r1.apk3.2 KiB2022-10-25 00:07:55
pully-1.0.0-r0.apk2.5 KiB2022-02-26 17:06:41
pully-openrc-1.0.0-r0.apk1.7 KiB2022-02-26 17:06:41
pulsar-client-cpp-3.1.2-r4.apk1.4 MiB2024-04-22 19:33:06
pulsar-client-cpp-dev-3.1.2-r4.apk54.6 KiB2024-04-22 19:33:06
pulseview-0.4.2-r8.apk1007.8 KiB2024-04-22 19:33:06
pulseview-doc-0.4.2-r8.apk3.7 KiB2024-04-22 19:33:06
purple-facebook-0.9.6-r0.apk88.0 KiB2020-07-29 06:01:13
purple-hangouts-0_git20200422-r0.apk228.4 KiB2020-07-22 16:35:19
pw-volume-0.5.0-r1.apk329.3 KiB2023-05-24 09:34:24
pwauth-2.3.11-r2.apk4.1 KiB2022-10-25 00:07:55
pwauth-doc-2.3.11-r2.apk6.8 KiB2022-10-25 00:07:55
pxalarm-2.0.0a-r0.apk2.5 KiB2023-08-18 05:36:42
pxmenu-1.0.0-r1.apk2.9 KiB2023-06-01 21:38:17
py3-actdiag-3.0.0-r3.apk12.8 KiB2023-04-21 23:00:59
py3-actdiag-pyc-3.0.0-r3.apk22.5 KiB2023-04-21 23:00:59
py3-aesedb-0.1.6-r2.apk37.2 KiB2024-04-16 00:00:04
py3-aesedb-pyc-0.1.6-r2.apk75.7 KiB2024-04-16 00:00:04
py3-agithub-2.2.2-r5.apk17.2 KiB2024-04-16 00:00:04
py3-agithub-pyc-2.2.2-r5.apk21.6 KiB2024-04-16 00:00:04
py3-aiodocker-0.21.0-r1.apk29.3 KiB2024-04-16 00:00:04
py3-aiodocker-pyc-0.21.0-r1.apk60.4 KiB2024-04-16 00:00:04
py3-aiohttp-debugtoolbar-0.6.1-r1.apk437.3 KiB2024-04-16 00:00:04
py3-aiohttp-debugtoolbar-pyc-0.6.1-r1.apk51.2 KiB2024-04-16 00:00:04
py3-aiohttp-jinja2-1.6-r2.apk12.4 KiB2024-04-16 00:00:04
py3-aiohttp-jinja2-pyc-1.6-r2.apk9.2 KiB2024-04-16 00:00:04
py3-aiohttp-remotes-1.2.0-r3.apk10.5 KiB2024-04-16 00:00:04
py3-aiohttp-remotes-pyc-1.2.0-r3.apk18.9 KiB2024-04-16 00:00:04
py3-aiohttp-session-2.12.0-r3.apk10.3 KiB2024-04-16 00:00:04
py3-aiohttp-session-pyc-2.12.0-r3.apk15.1 KiB2024-04-16 00:00:04
py3-aioopenssl-0.6.0-r3.apk16.8 KiB2024-04-16 00:00:04
py3-aioopenssl-pyc-0.6.0-r3.apk19.2 KiB2024-04-16 00:00:04
py3-aiosasl-0.5.0-r3.apk15.0 KiB2024-04-16 00:00:04
py3-aiosasl-doc-0.5.0-r3.apk16.5 KiB2024-04-16 00:00:04
py3-aiosasl-pyc-0.5.0-r3.apk24.0 KiB2024-04-16 00:00:04
py3-aiosmb-0.4.10-r1.apk596.7 KiB2024-04-16 00:00:04
py3-aiosmb-pyc-0.4.10-r1.apk1.1 MiB2024-04-16 00:00:04
py3-aiowinreg-0.0.10-r1.apk22.7 KiB2024-04-16 00:00:04
py3-aiowinreg-pyc-0.0.10-r1.apk44.0 KiB2024-04-16 00:00:04
py3-aioxmpp-0.13.3-r2.apk367.8 KiB2024-04-16 00:00:04
py3-aioxmpp-doc-0.13.3-r2.apk18.4 KiB2024-04-16 00:00:04
py3-aioxmpp-pyc-0.13.3-r2.apk673.1 KiB2024-04-16 00:00:05
py3-ajsonrpc-1.2.0-r3.apk16.1 KiB2024-04-16 00:00:05
py3-ajsonrpc-pyc-1.2.0-r3.apk24.0 KiB2024-04-16 00:00:05
py3-allfiles-1.0-r8.apk3.6 KiB2024-04-16 00:00:05
py3-allfiles-pyc-1.0-r8.apk3.3 KiB2024-04-16 00:00:05
py3-altgraph-0.17.4-r1.apk20.7 KiB2024-04-16 00:00:05
py3-altgraph-pyc-0.17.4-r1.apk29.1 KiB2024-04-16 00:00:05
py3-ansi2html-1.9.1-r1.apk17.7 KiB2024-04-16 00:00:05
py3-ansi2html-pyc-1.9.1-r1.apk21.9 KiB2024-04-16 00:00:05
py3-anyascii-0.3.2-r1.apk274.7 KiB2024-04-16 00:00:05
py3-anyascii-pyc-0.3.2-r1.apk3.3 KiB2024-04-16 00:00:05
py3-apicula-0.11.1-r1.apk8.5 MiB2024-04-16 00:00:05
py3-apicula-pyc-0.11.1-r1.apk179.5 KiB2024-04-16 00:00:05
py3-apsw-3.45.2.0-r1.apk327.7 KiB2024-04-16 00:00:06
py3-apsw-pyc-3.45.2.0-r1.apk319.2 KiB2024-04-16 00:00:06
py3-arcus-5.3.0-r0.apk86.0 KiB2024-04-21 14:35:10
py3-arpeggio-2.0.2-r1.apk21.9 KiB2024-04-15 14:27:02
py3-arpeggio-pyc-2.0.2-r1.apk41.3 KiB2024-04-15 14:27:02
py3-asif-0.3.2-r2.apk12.2 KiB2024-04-16 00:00:06
py3-asif-pyc-0.3.2-r2.apk25.9 KiB2024-04-16 00:00:06
py3-ask-0.0.8-r8.apk5.0 KiB2024-04-16 00:00:06
py3-ask-pyc-0.0.8-r8.apk4.5 KiB2024-04-16 00:00:06
py3-astral-3.2-r3.apk37.0 KiB2024-04-16 00:00:06
py3-astral-pyc-3.2-r3.apk58.9 KiB2024-04-16 00:00:06
py3-asyauth-0.0.20-r1.apk79.4 KiB2024-04-16 00:00:06
py3-asyauth-pyc-0.0.20-r1.apk171.4 KiB2024-04-16 00:00:06
py3-async-lru-2.0.4-r1.apk7.3 KiB2024-04-16 00:00:06
py3-async-lru-pyc-2.0.4-r1.apk8.6 KiB2024-04-16 00:00:06
py3-asysocks-0.2.12-r1.apk86.6 KiB2024-04-16 00:00:06
py3-asysocks-pyc-0.2.12-r1.apk231.0 KiB2024-04-16 00:00:06
py3-authres-1.2.0-r1.apk22.5 KiB2024-04-16 00:00:06
py3-authres-pyc-1.2.0-r1.apk21.6 KiB2024-04-16 00:00:06
py3-avro-1.11.3-r1.apk97.7 KiB2024-04-16 00:00:06
py3-avro-pyc-1.11.3-r1.apk191.4 KiB2024-04-16 00:00:06
py3-banal-1.0.6-r3.apk5.7 KiB2024-04-16 00:00:06
py3-banal-pyc-1.0.6-r3.apk7.2 KiB2024-04-16 00:00:06
py3-bandwidth-sdk-3.1.0-r7.apk44.4 KiB2024-04-16 00:00:06
py3-bandwidth-sdk-pyc-3.1.0-r7.apk69.3 KiB2024-04-16 00:00:06
py3-barcodenumber-0.2.1-r10.apk16.3 KiB2024-04-16 00:00:06
py3-barcodenumber-pyc-0.2.1-r10.apk4.3 KiB2024-04-16 00:00:06
py3-base58-2.1.1-r1.apk10.4 KiB2024-04-16 00:00:06
py3-beartype-0.18.5-r0.apk730.8 KiB2024-04-25 00:52:17
py3-beartype-pyc-0.18.5-r0.apk525.1 KiB2024-04-25 00:52:17
py3-bencode-4.0.0-r1.apk17.1 KiB2024-04-16 00:00:06
py3-bencode-pyc-4.0.0-r1.apk10.5 KiB2024-04-16 00:00:06
py3-bidict-0.23.1-r1.apk27.7 KiB2024-04-16 00:00:06
py3-bidict-pyc-0.23.1-r1.apk28.8 KiB2024-04-16 00:00:06
py3-bite-parser-0.2.4-r1.apk14.0 KiB2024-04-16 00:00:06
py3-bite-parser-pyc-0.2.4-r1.apk23.6 KiB2024-04-16 00:00:06
py3-bitstruct-8.19.0-r1.apk35.0 KiB2024-04-16 00:00:06
py3-bitstruct-pyc-8.19.0-r1.apk12.8 KiB2024-04-16 00:00:06
py3-blockchain-1.4.4-r6.apk10.4 KiB2024-04-16 00:00:06
py3-blockchain-pyc-1.4.4-r6.apk17.9 KiB2024-04-16 00:00:06
py3-blockdiag-3.0.0-r4.apk70.1 KiB2024-04-16 00:00:06
py3-blockdiag-pyc-3.0.0-r4.apk150.1 KiB2024-04-16 00:00:06
py3-blockdiag-tests-3.0.0-r4.apk2.5 MiB2024-04-16 00:00:06
py3-bookkeeper-4.16.2-r1.apk42.8 KiB2024-04-16 00:00:06
py3-bookkeeper-pyc-4.16.2-r1.apk67.7 KiB2024-04-16 00:00:06
py3-bottle-api-0.0.4-r7.apk4.9 KiB2024-04-16 00:00:06
py3-bottle-api-pyc-0.0.4-r7.apk5.2 KiB2024-04-16 00:00:06
py3-bottle-pgsql-0.2-r5.apk4.3 KiB2024-04-16 00:00:06
py3-bottle-redis-0.2.3-r6.apk3.3 KiB2024-04-16 00:00:06
py3-bottle-redis-pyc-0.2.3-r6.apk3.1 KiB2024-04-16 00:00:06
py3-bottle-renderer-0.1.1-r9.apk4.0 KiB2024-04-16 00:00:06
py3-bottle-renderer-pyc-0.1.1-r9.apk3.7 KiB2024-04-16 00:00:06
py3-bottle-request-0.2.0-r9.apk3.2 KiB2024-04-16 00:00:06
py3-bottle-request-pyc-0.2.0-r9.apk2.6 KiB2024-04-16 00:00:06
py3-bottle-rest-0.6.0-r1.apk6.1 KiB2024-04-16 00:00:06
py3-bottle-rest-pyc-0.6.0-r1.apk5.2 KiB2024-04-16 00:00:06
py3-bottle-session-1.0-r6.apk10.2 KiB2024-04-16 00:00:06
py3-bottle-session-pyc-1.0-r6.apk7.8 KiB2024-04-16 00:00:06
py3-bottle-sqlalchemy-0.4.3-r8.apk4.8 KiB2024-04-16 00:00:06
py3-bottle-sqlalchemy-pyc-0.4.3-r8.apk5.6 KiB2024-04-16 00:00:06
py3-bottle-sqlite-0.2.0-r7.apk4.7 KiB2024-04-16 00:00:06
py3-bottle-sqlite-pyc-0.2.0-r7.apk5.2 KiB2024-04-16 00:00:06
py3-bottle-websocket-0.2.9-r8.apk4.6 KiB2024-04-16 00:00:06
py3-bottle-websocket-pyc-0.2.9-r8.apk3.1 KiB2024-04-16 00:00:06
py3-bottle-werkzeug-0.1.1-r9.apk4.1 KiB2024-04-16 00:00:06
py3-bottle-werkzeug-pyc-0.1.1-r9.apk4.3 KiB2024-04-16 00:00:06
py3-bson-0.5.10-r5.apk11.4 KiB2024-04-16 00:00:06
py3-bson-pyc-0.5.10-r5.apk18.5 KiB2024-04-16 00:00:06
py3-businesstime-0.3.0-r9.apk10.6 KiB2024-04-16 00:00:06
py3-businesstime-pyc-0.3.0-r9.apk16.3 KiB2024-04-16 00:00:06
py3-c3d-0.5.2-r1.apk32.1 KiB2024-04-16 00:00:06
py3-c3d-pyc-0.5.2-r1.apk53.7 KiB2024-04-16 00:00:06
py3-caldav-1.3.9-r1.apk64.0 KiB2024-04-16 00:00:06
py3-caldav-pyc-1.3.9-r1.apk79.8 KiB2024-04-16 00:00:06
py3-cassandra-driver-3.29.1-r0.apk288.8 KiB2024-04-16 00:00:06
py3-cassandra-driver-pyc-3.29.1-r0.apk560.8 KiB2024-04-16 00:00:06
py3-catkin-pkg-0.5.2-r3.apk55.5 KiB2024-04-16 00:00:06
py3-catkin-pkg-pyc-0.5.2-r3.apk103.0 KiB2024-04-16 00:00:06
py3-cchardet-2.1.7-r4.apk106.5 KiB2024-04-16 00:00:06
py3-cchardet-pyc-2.1.7-r4.apk3.1 KiB2024-04-16 00:00:06
py3-cdio-2.1.1-r4.apk89.9 KiB2024-04-16 00:00:06
py3-cdio-pyc-2.1.1-r4.apk43.3 KiB2024-04-16 00:00:06
py3-certauth-1.3.0-r0.apk8.7 KiB2023-10-21 08:23:05
py3-certauth-pyc-1.3.0-r0.apk9.7 KiB2023-10-21 08:23:05
py3-chameleon-4.5.4-r0.apk97.5 KiB2024-04-14 14:22:57
py3-chameleon-pyc-4.5.4-r0.apk131.0 KiB2024-04-14 14:22:57
py3-ciso8601-2.3.1-r1.apk15.6 KiB2024-04-16 00:00:06
py3-cjkwrap-2.2-r3.apk4.5 KiB2024-04-16 00:00:06
py3-cjkwrap-pyc-2.2-r3.apk5.3 KiB2024-04-16 00:00:06
py3-class-doc-1.25-r1.apk6.1 KiB2024-04-16 00:00:06
py3-class-doc-pyc-1.25-r1.apk8.7 KiB2024-04-16 00:00:06
py3-click-completion-0.5.2-r1.apk10.8 KiB2024-04-16 00:00:06
py3-click-completion-pyc-0.5.2-r1.apk14.2 KiB2024-04-16 00:00:06
py3-click-default-group-1.2.4-r1.apk5.1 KiB2024-04-16 00:00:06
py3-click-default-group-pyc-1.2.4-r1.apk4.5 KiB2024-04-16 00:00:06
py3-click-threading-0.5.0-r4.apk5.4 KiB2024-04-16 00:00:06
py3-click-threading-pyc-0.5.0-r4.apk7.9 KiB2024-04-16 00:00:06
py3-clickclick-20.10.2-r2.apk7.7 KiB2023-04-22 11:40:00
py3-clickclick-pyc-20.10.2-r2.apk10.4 KiB2023-04-22 11:40:00
py3-cmd2-2.4.3-r2.apk139.4 KiB2024-04-16 00:00:06
py3-cmd2-pyc-2.4.3-r2.apk222.6 KiB2024-04-16 00:00:06
py3-cobs-1.2.0-r3.apk18.0 KiB2024-04-16 00:00:06
py3-cobs-pyc-1.2.0-r3.apk12.5 KiB2024-04-16 00:00:06
py3-codecov-2.1.13-r2.apk13.8 KiB2024-04-16 00:00:06
py3-codecov-pyc-2.1.13-r2.apk21.3 KiB2024-04-16 00:00:06
py3-colander-2.0-r1.apk60.0 KiB2024-04-16 00:00:06
py3-colander-pyc-2.0-r1.apk42.5 KiB2024-04-16 00:00:06
py3-colored-1.4.4-r2.apk13.4 KiB2024-04-16 00:00:06
py3-colored-pyc-1.4.4-r2.apk17.0 KiB2024-04-16 00:00:06
py3-colorthief-0.2.1-r1.apk7.3 KiB2024-04-16 00:00:06
py3-colorthief-pyc-0.2.1-r1.apk10.1 KiB2024-04-16 00:00:06
py3-columnize-0.3.11-r3.apk7.6 KiB2024-04-16 00:00:06
py3-columnize-pyc-0.3.11-r3.apk7.5 KiB2024-04-16 00:00:06
py3-compdb-0.2.0-r7.apk21.9 KiB2024-04-16 00:00:06
py3-compdb-doc-0.2.0-r7.apk3.1 KiB2024-04-16 00:00:06
py3-compdb-pyc-0.2.0-r7.apk39.6 KiB2024-04-16 00:00:06
py3-cookiecutter-2.6.0-r1.apk35.4 KiB2024-04-16 00:00:06
py3-cookiecutter-doc-2.6.0-r1.apk3.7 KiB2024-04-16 00:00:06
py3-cookiecutter-pyc-2.6.0-r1.apk47.5 KiB2024-04-16 00:00:06
py3-coreapi-2.3.3-r8.apk21.3 KiB2024-04-16 00:00:06
py3-coreapi-pyc-2.3.3-r8.apk43.2 KiB2024-04-16 00:00:06
py3-crc16-0.1.1-r10.apk11.8 KiB2024-04-16 00:00:06
py3-crc16-pyc-0.1.1-r10.apk4.7 KiB2024-04-16 00:00:06
py3-createrepo_c-1.0.2-r1.apk38.8 KiB2024-04-16 00:00:06
py3-createrepo_c-pyc-1.0.2-r1.apk7.6 KiB2024-04-16 00:00:06
py3-cssutils-2.10.2-r0.apk167.2 KiB2024-04-26 14:12:39
py3-cssutils-pyc-2.10.2-r0.apk277.3 KiB2024-04-26 14:12:39
py3-cstruct-5.3-r1.apk22.0 KiB2024-04-16 00:00:06
py3-cstruct-pyc-5.3-r1.apk36.1 KiB2024-04-16 00:00:06
py3-cucumber-tag-expressions-6.0.0-r1.apk8.5 KiB2024-04-16 00:00:06
py3-cucumber-tag-expressions-pyc-6.0.0-r1.apk10.0 KiB2024-04-16 00:00:06
py3-cvxpy-1.2.1-r4.apk652.0 KiB2024-04-16 00:00:06
py3-cvxpy-pyc-1.2.1-r4.apk936.1 KiB2024-04-16 00:00:06
py3-daemon-2.3.2-r3.apk17.3 KiB2024-04-16 00:00:06
py3-daemon-pyc-2.3.2-r3.apk23.9 KiB2024-04-16 00:00:06
py3-daiquiri-3.2.5.1-r1.apk13.9 KiB2024-04-16 00:00:06
py3-daiquiri-pyc-3.2.5.1-r1.apk17.7 KiB2024-04-16 00:00:06
py3-dataclasses-json-0.6.4-r1.apk27.0 KiB2024-04-16 00:00:06
py3-dataclasses-json-pyc-0.6.4-r1.apk34.8 KiB2024-04-16 00:00:06
py3-dataclasses-serialization-1.3.1-r3.apk11.0 KiB2024-04-16 00:00:06
py3-dataclasses-serialization-pyc-1.3.1-r3.apk14.3 KiB2024-04-16 00:00:06
py3-dateparser-1.2.0-r1.apk204.7 KiB2024-04-16 00:00:06
py3-dateparser-pyc-1.2.0-r1.apk341.0 KiB2024-04-16 00:00:06
py3-daterangestr-0.0.3-r8.apk4.4 KiB2024-04-16 00:00:06
py3-daterangestr-pyc-0.0.3-r8.apk4.2 KiB2024-04-16 00:00:06
py3-dep-logic-0.2.0-r1.apk22.3 KiB2024-04-16 00:00:06
py3-dep-logic-pyc-0.2.0-r1.apk38.7 KiB2024-04-16 00:00:06
py3-dexml-0.5.1-r9.apk22.2 KiB2024-04-16 00:00:06
py3-dexml-pyc-0.5.1-r9.apk37.5 KiB2024-04-16 00:00:06
py3-dict2xml-1.7.5-r2.apk9.1 KiB2024-04-16 00:00:06
py3-dict2xml-pyc-1.7.5-r2.apk7.8 KiB2024-04-16 00:00:06
py3-discid-1.2.0-r5.apk9.6 KiB2024-04-16 00:00:06
py3-discid-pyc-1.2.0-r5.apk13.1 KiB2024-04-16 00:00:06
py3-discogs-client-2.7-r2.apk16.1 KiB2024-04-16 00:00:06
py3-discogs-client-pyc-2.7-r2.apk32.5 KiB2024-04-16 00:00:06
py3-diskcache-5.6.3-r1.apk41.6 KiB2024-04-16 00:00:06
py3-diskcache-pyc-5.6.3-r1.apk67.1 KiB2024-04-16 00:00:06
py3-distorm3-3.5.2-r5.apk46.0 KiB2024-04-16 00:00:06
py3-distorm3-pyc-3.5.2-r5.apk48.5 KiB2024-04-16 00:00:06
py3-django-compress-staticfiles-1.0.1_beta0-r4.apk14.1 KiB2024-04-16 00:00:06
py3-django-compress-staticfiles-pyc-1.0.1_beta0-r4.apk15.0 KiB2024-04-16 00:00:06
py3-django-debug-toolbar-4.3-r1.apk143.6 KiB2024-04-16 00:00:06
py3-django-debug-toolbar-pyc-4.3-r1.apk80.1 KiB2024-04-16 00:00:06
py3-django-js-asset-2.2-r2.apk5.8 KiB2024-04-16 00:00:06
py3-django-js-asset-pyc-2.2-r2.apk4.2 KiB2024-04-16 00:00:06
py3-django-mptt-0.16-r2.apk82.0 KiB2024-04-16 00:00:06
py3-django-mptt-pyc-0.16-r2.apk72.3 KiB2024-04-16 00:00:07
py3-django-suit-0.2.28-r7.apk354.2 KiB2024-04-16 00:00:07
py3-django-suit-pyc-0.2.28-r7.apk32.5 KiB2024-04-16 00:00:07
py3-django-taggit-serializer-0.1.7-r8.apk4.0 KiB2024-04-16 00:00:07
py3-django-taggit-serializer-pyc-0.1.7-r8.apk5.0 KiB2024-04-16 00:00:07
py3-django-timezone-field-6.1.0-r2.apk11.6 KiB2024-04-16 00:00:07
py3-django-timezone-field-pyc-6.1.0-r2.apk10.9 KiB2024-04-16 00:00:07
py3-dkimpy-1.1.6-r0.apk32.9 KiB2024-04-24 21:05:02
py3-dkimpy-doc-1.1.6-r0.apk12.0 KiB2024-04-24 21:05:02
py3-dkimpy-pyc-1.1.6-r0.apk48.5 KiB2024-04-24 21:05:02
py3-dnslib-0.9.24-r1.apk51.4 KiB2024-04-16 00:00:07
py3-dnslib-pyc-0.9.24-r1.apk108.3 KiB2024-04-16 00:00:07
py3-docformatter-1.7.5-r3.apk25.0 KiB2024-04-16 00:00:07
py3-docformatter-pyc-1.7.5-r3.apk36.1 KiB2024-04-16 00:00:07
py3-dogpile.cache-1.2.2-r1.apk46.3 KiB2024-04-16 00:00:07
py3-dogpile.cache-pyc-1.2.2-r1.apk77.5 KiB2024-04-16 00:00:07
py3-doit-0.36.0-r4.apk75.5 KiB2024-04-16 00:00:07
py3-doit-pyc-0.36.0-r4.apk133.7 KiB2024-04-16 00:00:07
py3-dominate-2.9.1-r1.apk24.8 KiB2024-04-16 00:00:07
py3-dominate-pyc-2.9.1-r1.apk33.7 KiB2024-04-16 00:00:07
py3-dotty-dict-1.3.1-r3.apk7.5 KiB2024-04-16 00:00:07
py3-dotty-dict-pyc-1.3.1-r3.apk8.7 KiB2024-04-16 00:00:07
py3-downloader-cli-0.3.4-r1.apk11.5 KiB2024-04-16 00:00:07
py3-downloader-cli-pyc-0.3.4-r1.apk14.3 KiB2024-04-16 00:00:07
py3-dpath-2.1.6-r1.apk15.8 KiB2024-04-16 00:00:07
py3-dpath-pyc-2.1.6-r1.apk17.9 KiB2024-04-16 00:00:07
py3-drf-yasg-1.21.7-r1.apk4.0 MiB2024-04-16 00:00:07
py3-drf-yasg-pyc-1.21.7-r1.apk97.5 KiB2024-04-16 00:00:07
py3-dt-schema-2024.04-r0.apk78.4 KiB2024-04-19 02:47:36
py3-dt-schema-pyc-2024.04-r0.apk47.2 KiB2024-04-19 02:47:36
py3-dunamai-1.21.0-r0.apk26.3 KiB2024-04-30 18:20:33
py3-dunamai-pyc-1.21.0-r0.apk42.2 KiB2024-04-30 18:20:33
py3-duniterpy-1.1.1-r3.apk221.3 KiB2024-04-16 00:00:07
py3-dweepy-0.3.0-r7.apk9.1 KiB2024-04-16 00:00:07
py3-dweepy-pyc-0.3.0-r7.apk6.3 KiB2024-04-16 00:00:07
py3-ecos-2.0.11-r3.apk14.9 KiB2024-04-16 00:00:07
py3-ecos-pyc-2.0.11-r3.apk3.6 KiB2024-04-16 00:00:07
py3-editdistance-s-1.0.0-r5.apk15.6 KiB2024-04-16 00:00:07
py3-editdistance-s-pyc-1.0.0-r5.apk2.0 KiB2024-04-16 00:00:07
py3-empy-3.3.4-r6.apk30.0 KiB2024-04-16 00:00:07
py3-empy-pyc-3.3.4-r6.apk58.4 KiB2024-04-16 00:00:07
py3-enzyme-0.4.1-r4.apk48.5 KiB2024-04-16 00:00:07
py3-enzyme-pyc-0.4.1-r4.apk30.0 KiB2024-04-16 00:00:07
py3-eradicate-2.3.0-r1.apk7.5 KiB2024-04-16 00:00:07
py3-eradicate-doc-2.3.0-r1.apk2.5 KiB2024-04-16 00:00:07
py3-eradicate-pyc-2.3.0-r1.apk8.4 KiB2024-04-16 00:00:07
py3-euclid3-0.01-r7.apk14.0 KiB2024-04-16 00:00:07
py3-euclid3-pyc-0.01-r7.apk32.6 KiB2024-04-16 00:00:07
py3-eventlet-0.36.1-r0.apk334.2 KiB2024-04-14 20:05:36
py3-eventlet-pyc-0.36.1-r0.apk336.0 KiB2024-04-14 20:05:36
py3-evohome-client-0.3.7-r3.apk14.5 KiB2024-04-16 00:00:07
py3-evohome-client-pyc-0.3.7-r3.apk27.1 KiB2024-04-16 00:00:07
py3-fastapi-0.108.0-r0.apk83.1 KiB2023-12-29 18:24:05
py3-fastapi-pyc-0.108.0-r0.apk147.9 KiB2023-12-29 18:24:05
py3-fastdiff-0.3.0-r4.apk37.5 KiB2024-04-16 00:00:07
py3-fastdiff-pyc-0.3.0-r4.apk4.2 KiB2024-04-16 00:00:07
py3-feedgen-1.0.0-r1.apk40.2 KiB2024-04-16 00:00:07
py3-feedgen-pyc-1.0.0-r1.apk61.7 KiB2024-04-16 00:00:07
py3-feedgenerator-2.1.0-r1.apk16.8 KiB2024-04-16 00:00:07
py3-feedgenerator-pyc-2.1.0-r1.apk26.8 KiB2024-04-16 00:00:07
py3-ffmpeg-0.2.0-r3.apk19.6 KiB2024-04-16 00:00:07
py3-ffmpeg-pyc-0.2.0-r3.apk32.8 KiB2024-04-16 00:00:07
py3-findpython-0.6.1-r0.apk18.1 KiB2024-04-25 23:35:03
py3-findpython-pyc-0.6.1-r0.apk30.4 KiB2024-04-25 23:35:03
py3-firmata-1.0.3-r9.apk13.2 KiB2024-04-16 00:00:07
py3-firmata-pyc-1.0.3-r9.apk20.9 KiB2024-04-16 00:00:07
py3-flake8-blind-except-0.2.1-r4.apk5.2 KiB2024-04-16 00:00:07
py3-flake8-blind-except-pyc-0.2.1-r4.apk2.6 KiB2024-04-16 00:00:07
py3-flake8-builtins-2.1.0-r3.apk14.0 KiB2024-04-16 00:00:07
py3-flake8-builtins-pyc-2.1.0-r3.apk7.0 KiB2024-04-16 00:00:07
py3-flake8-copyright-0.2.4-r3.apk18.2 KiB2024-04-16 00:00:07
py3-flake8-copyright-pyc-0.2.4-r3.apk3.3 KiB2024-04-16 00:00:07
py3-flake8-debugger-4.1.2-r4.apk6.2 KiB2024-04-16 00:00:07
py3-flake8-debugger-pyc-4.1.2-r4.apk6.0 KiB2024-04-16 00:00:07
py3-flake8-import-order-0.18.2-r4.apk15.4 KiB2024-04-16 00:00:07
py3-flake8-import-order-pyc-0.18.2-r4.apk16.8 KiB2024-04-16 00:00:07
py3-flake8-isort-6.1.1-r1.apk18.2 KiB2024-04-16 00:00:07
py3-flake8-isort-pyc-6.1.1-r1.apk5.4 KiB2024-04-16 00:00:07
py3-flake8-polyfill-1.0.2-r4.apk5.9 KiB2024-04-16 00:00:07
py3-flake8-polyfill-pyc-1.0.2-r4.apk5.7 KiB2024-04-16 00:00:07
py3-flake8-print-5.0.0-r5.apk6.7 KiB2024-04-16 00:00:07
py3-flake8-print-pyc-5.0.0-r5.apk4.4 KiB2024-04-16 00:00:07
py3-flake8-snippets-0.2-r8.apk5.3 KiB2024-04-16 00:00:07
py3-flake8-snippets-pyc-0.2-r8.apk3.7 KiB2024-04-16 00:00:07
py3-flake8-todo-0.7-r7.apk3.6 KiB2024-04-16 00:00:07
py3-flake8-todo-pyc-0.7-r7.apk2.2 KiB2024-04-16 00:00:07
py3-flask-accept-0.0.6-r1.apk5.0 KiB2024-04-16 00:00:07
py3-flask-accept-pyc-0.0.6-r1.apk3.7 KiB2024-04-16 00:00:07
py3-flask-admin-1.6.1-r3.apk6.5 MiB2024-04-16 00:00:08
py3-flask-admin-pyc-1.6.1-r3.apk358.3 KiB2024-04-16 00:00:08
py3-flask-autorouter-0.2.2-r3.apk5.1 KiB2024-04-16 00:00:08
py3-flask-autorouter-pyc-0.2.2-r3.apk5.0 KiB2024-04-16 00:00:08
py3-flask-basicauth-0.2.0-r9.apk5.3 KiB2024-04-16 00:00:08
py3-flask-basicauth-pyc-0.2.0-r9.apk4.1 KiB2024-04-16 00:00:08
py3-flask-bcrypt-1.0.1-r5.apk7.1 KiB2024-04-16 00:00:08
py3-flask-bcrypt-pyc-1.0.1-r5.apk5.8 KiB2024-04-16 00:00:08
py3-flask-bootstrap-3.3.7.1-r8.apk449.8 KiB2024-04-16 00:00:08
py3-flask-bootstrap-pyc-3.3.7.1-r8.apk10.9 KiB2024-04-16 00:00:08
py3-flask-cache-0.13.1-r9.apk12.8 KiB2024-04-16 00:00:08
py3-flask-cache-pyc-0.13.1-r9.apk18.3 KiB2024-04-16 00:00:08
py3-flask-cdn-1.5.3-r7.apk4.0 KiB2024-04-16 00:00:08
py3-flask-cdn-pyc-1.5.3-r7.apk4.1 KiB2024-04-16 00:00:08
py3-flask-components-0.1.1-r9.apk3.9 KiB2024-04-16 00:00:08
py3-flask-components-pyc-0.1.1-r9.apk3.3 KiB2024-04-16 00:00:08
py3-flask-dbconfig-0.3.12-r8.apk85.7 KiB2024-04-16 00:00:08
py3-flask-dbconfig-pyc-0.3.12-r8.apk6.3 KiB2024-04-16 00:00:08
py3-flask-flatpages-0.8.2-r2.apk10.8 KiB2024-04-16 00:00:08
py3-flask-flatpages-pyc-0.8.2-r2.apk13.4 KiB2024-04-16 00:00:08
py3-flask-gzip-0.2-r8.apk3.2 KiB2024-04-16 00:00:08
py3-flask-gzip-pyc-0.2-r8.apk2.8 KiB2024-04-16 00:00:08
py3-flask-headers-1.0-r9.apk3.2 KiB2024-04-16 00:00:08
py3-flask-headers-pyc-1.0-r9.apk2.5 KiB2024-04-16 00:00:08
py3-flask-httpauth-4.8.0-r2.apk8.0 KiB2024-04-16 00:00:08
py3-flask-httpauth-pyc-4.8.0-r2.apk10.6 KiB2024-04-16 00:00:08
py3-flask-json-schema-0.0.5-r4.apk4.1 KiB2024-04-16 00:00:08
py3-flask-json-schema-pyc-0.0.5-r4.apk3.4 KiB2024-04-16 00:00:08
py3-flask-limiter-3.6.0-r0.apk26.2 KiB2024-04-22 08:28:08
py3-flask-limiter-pyc-3.6.0-r0.apk47.5 KiB2024-04-22 08:28:08
py3-flask-loopback-1.4.7-r7.apk5.6 KiB2024-04-16 00:00:08
py3-flask-loopback-pyc-1.4.7-r7.apk7.9 KiB2024-04-16 00:00:08
py3-flask-mailman-1.0.0-r1.apk16.3 KiB2024-04-16 00:00:08
py3-flask-mailman-pyc-1.0.0-r1.apk25.4 KiB2024-04-16 00:00:08
py3-flask-markdown-0.3-r8.apk5.6 KiB2024-04-16 00:00:08
py3-flask-markdown-pyc-0.3-r8.apk3.9 KiB2024-04-16 00:00:08
py3-flask-migrate-4.0.5-r1.apk13.6 KiB2024-04-16 00:00:08
py3-flask-migrate-pyc-4.0.5-r1.apk18.2 KiB2024-04-16 00:00:08
py3-flask-paginate-0.8.1-r6.apk8.2 KiB2024-04-16 00:00:08
py3-flask-paginate-pyc-0.8.1-r6.apk11.2 KiB2024-04-16 00:00:08
py3-flask-peewee-3.0.4-r6.apk171.7 KiB2024-04-16 00:00:08
py3-flask-peewee-pyc-3.0.4-r6.apk95.4 KiB2024-04-16 00:00:08
py3-flask-qrcode-3.1.0-r4.apk18.3 KiB2024-04-16 00:00:08
py3-flask-qrcode-pyc-3.1.0-r4.apk6.2 KiB2024-04-16 00:00:08
py3-flask-restaction-0.25.3-r8.apk114.8 KiB2024-04-16 00:00:08
py3-flask-restaction-pyc-0.25.3-r8.apk19.8 KiB2024-04-16 00:00:08
py3-flask-restless-0.17.0-r9.apk40.5 KiB2024-04-16 00:00:08
py3-flask-restless-pyc-0.17.0-r9.apk59.1 KiB2024-04-16 00:00:08
py3-flask-security-5.4.3-r1.apk267.1 KiB2024-04-16 00:00:08
py3-flask-security-pyc-5.4.3-r1.apk210.7 KiB2024-04-16 00:00:08
py3-flask-themer-2.0.0-r1.apk7.0 KiB2024-04-16 00:00:08
py3-flask-themer-pyc-2.0.0-r1.apk7.0 KiB2024-04-16 00:00:08
py3-forbiddenfruit-0.1.4-r2.apk9.0 KiB2024-04-16 00:00:08
py3-forbiddenfruit-pyc-0.1.4-r2.apk9.7 KiB2024-04-16 00:00:08
py3-fpdf-1.7.2-r5.apk39.7 KiB2024-04-16 00:00:08
py3-fpdf-pyc-1.7.2-r5.apk89.2 KiB2024-04-16 00:00:08
py3-freetype-py-2.4.0-r1.apk154.4 KiB2024-04-16 00:00:08
py3-funcparserlib-1.0.1-r4.apk16.6 KiB2024-04-16 00:00:08
py3-funcparserlib-pyc-1.0.1-r4.apk18.7 KiB2024-04-16 00:00:08
py3-furl-2.1.3-r2.apk20.0 KiB2023-04-22 12:34:58
py3-furl-pyc-2.1.3-r2.apk33.9 KiB2023-04-22 12:34:58
py3-fuzzywuzzy-0.18.0-r6.apk11.3 KiB2024-04-14 14:22:57
py3-fuzzywuzzy-pyc-0.18.0-r6.apk14.7 KiB2024-04-14 14:22:57
py3-gdcm-3.0.23-r2.apk689.6 KiB2024-04-16 00:54:45
py3-geoip-1.3.2-r3.apk20.2 KiB2024-04-16 00:00:08
py3-gevent-websocket-0.10.1-r7.apk18.7 KiB2024-04-16 00:00:08
py3-gevent-websocket-pyc-0.10.1-r7.apk30.4 KiB2024-04-16 00:00:08
py3-git-versioner-7.1-r1.apk12.0 KiB2024-04-16 00:00:08
py3-git-versioner-pyc-7.1-r1.apk13.5 KiB2024-04-16 00:00:08
py3-github3-4.0.1-r1.apk128.3 KiB2024-04-16 00:00:08
py3-github3-pyc-4.0.1-r1.apk227.0 KiB2024-04-16 00:00:08
py3-glob2-0.7-r5.apk9.1 KiB2023-04-23 19:45:49
py3-glob2-pyc-0.7-r5.apk13.5 KiB2023-04-23 19:45:49
py3-gls-1.3.1-r1.apk46.8 KiB2024-04-16 00:00:08
py3-gls-pyc-1.3.1-r1.apk84.1 KiB2024-04-16 00:00:08
py3-google-trans-new-1.1.9-r2.apk9.2 KiB2024-04-16 00:00:08
py3-google-trans-new-pyc-1.1.9-r2.apk10.6 KiB2024-04-16 00:00:08
py3-googletrans-3.0.0-r4.apk15.1 KiB2024-04-17 03:15:16
py3-googletrans-pyc-3.0.0-r4.apk17.4 KiB2024-04-17 03:15:16
py3-grequests-0.7.0-r1.apk5.3 KiB2024-04-16 00:00:08
py3-grequests-pyc-0.7.0-r1.apk5.9 KiB2024-04-16 00:00:08
py3-halo-0.0.31-r4.apk10.4 KiB2024-04-16 00:00:08
py3-halo-pyc-0.0.31-r4.apk13.9 KiB2024-04-16 00:00:08
py3-hatch-openzim-0.2.0-r0.apk24.5 KiB2024-04-18 13:58:55
py3-hatch-openzim-bootstrap-0.1.0-r0.apk24.2 KiB2024-04-18 13:58:55
py3-hatch-openzim-bootstrap-pyc-0.1.0-r0.apk12.7 KiB2024-04-18 13:58:55
py3-hatch-openzim-pyc-0.2.0-r0.apk13.0 KiB2024-04-18 13:58:55
py3-helper-2.5.0-r4.apk18.0 KiB2024-04-16 00:00:08
py3-helper-pyc-2.5.0-r4.apk28.2 KiB2024-04-16 00:00:08
py3-hfst-3.16.0-r2.apk382.4 KiB2024-04-16 00:00:08
py3-hg-git-1.1.1-r1.apk70.1 KiB2024-04-16 00:00:08
py3-hg-git-pyc-1.1.1-r1.apk106.8 KiB2024-04-16 00:00:08
py3-hiplot-0.1.33-r1.apk104.8 KiB2024-04-16 00:00:08
py3-hiplot-pyc-0.1.33-r1.apk54.1 KiB2024-04-16 00:00:08
py3-html5-parser-0.4.12-r1.apk167.1 KiB2024-04-16 00:00:08
py3-html5-parser-pyc-0.4.12-r1.apk22.3 KiB2024-04-16 00:00:08
py3-hurry.filesize-0.9-r7.apk4.4 KiB2024-04-16 00:00:08
py3-hurry.filesize-pyc-0.9-r7.apk3.3 KiB2024-04-16 00:00:08
py3-i18naddress-3.1.0-r2.apk737.6 KiB2024-04-16 00:00:08
py3-i18naddress-pyc-3.1.0-r2.apk13.4 KiB2024-04-16 00:00:08
py3-igraph-0.11.4-r1.apk403.5 KiB2024-04-16 00:00:08
py3-igraph-dev-0.11.4-r1.apk2.7 KiB2024-04-16 00:00:08
py3-igraph-pyc-0.11.4-r1.apk372.9 KiB2024-04-16 00:00:08
py3-imageio-2.34.0-r1.apk286.3 KiB2024-04-17 03:15:16
py3-imageio-ffmpeg-0.4.9-r0.apk16.5 KiB2023-10-05 21:12:56
py3-imageio-ffmpeg-pyc-0.4.9-r0.apk21.2 KiB2023-10-05 21:12:56
py3-imageio-pyc-2.34.0-r1.apk502.0 KiB2024-04-17 03:15:16
py3-imdbpy-2021.4.18-r4.apk219.5 KiB2024-04-16 00:00:08
py3-imdbpy-pyc-2021.4.18-r4.apk242.6 KiB2024-04-16 00:00:08
py3-incoming-0.3.1-r7.apk12.2 KiB2024-04-16 00:00:08
py3-incoming-pyc-0.3.1-r7.apk20.0 KiB2024-04-16 00:00:08
py3-infinity-1.5-r5.apk3.5 KiB2024-04-16 00:00:08
py3-infinity-pyc-1.5-r5.apk3.8 KiB2024-04-16 00:00:08
py3-iniparse-0.5-r6.apk13.5 KiB2024-04-16 00:00:08
py3-iniparse-doc-0.5-r6.apk10.4 KiB2024-04-16 00:00:08
py3-iniparse-pyc-0.5-r6.apk24.7 KiB2024-04-16 00:00:08
py3-intervals-0.9.2-r4.apk8.4 KiB2024-04-16 00:00:08
py3-intervals-pyc-0.9.2-r4.apk15.2 KiB2024-04-16 00:00:08
py3-invoke-2.2.0-r2.apk147.0 KiB2024-04-16 00:00:08
py3-invoke-pyc-2.2.0-r2.apk231.4 KiB2024-04-16 00:00:08
py3-iso639-lang-2.2.3-r0.apk269.0 KiB2024-04-18 13:59:21
py3-iso639-lang-pyc-2.2.3-r0.apk9.7 KiB2024-04-18 13:59:21
py3-itemadapter-0.8.0-r2.apk10.3 KiB2024-04-16 00:00:08
py3-itemadapter-pyc-0.8.0-r2.apk12.7 KiB2024-04-16 00:00:08
py3-itemloaders-1.2.0-r0.apk11.8 KiB2024-04-25 01:26:20
py3-itemloaders-pyc-1.2.0-r0.apk15.0 KiB2024-04-25 01:26:20
py3-itunespy-1.6-r3.apk8.9 KiB2024-04-16 00:00:08
py3-itunespy-pyc-1.6-r3.apk14.8 KiB2024-04-16 00:00:08
py3-janus-1.0.0-r3.apk8.2 KiB2024-04-16 00:00:08
py3-janus-pyc-1.0.0-r3.apk13.3 KiB2024-04-16 00:00:08
py3-jsonschema417-4.17.3-r1.apk73.3 KiB2024-04-16 00:00:08
py3-jsonschema417-pyc-4.17.3-r1.apk125.2 KiB2024-04-16 00:00:08
py3-junit-xml-1.9-r2.apk7.5 KiB2024-04-16 00:00:08
py3-junit-xml-pyc-1.9-r2.apk9.3 KiB2024-04-16 00:00:08
py3-kazoo-0_git20211202-r3.apk119.5 KiB2024-04-16 00:00:08
py3-kazoo-pyc-0_git20211202-r3.apk244.5 KiB2024-04-16 00:00:08
py3-keepalive-0.5-r5.apk9.0 KiB2024-04-14 20:33:46
py3-keepalive-doc-0.5-r5.apk2.0 KiB2024-04-14 20:33:46
py3-keepalive-pyc-0.5-r5.apk13.0 KiB2024-04-14 20:33:46
py3-kerberos-1.3.1-r4.apk19.0 KiB2023-03-02 00:13:11
py3-kikit-1.5.1-r0.apk235.9 KiB2024-04-16 16:22:47
py3-kikit-pyc-1.5.1-r0.apk268.3 KiB2024-04-16 16:22:47
py3-landlock-1.0.0_pre4-r2.apk8.4 KiB2024-04-16 00:00:08
py3-landlock-pyc-1.0.0_pre4-r2.apk9.5 KiB2024-04-16 00:00:08
py3-langcodes-3.3.0-r2.apk173.5 KiB2024-04-16 00:00:08
py3-langcodes-pyc-3.3.0-r2.apk109.7 KiB2024-04-16 00:00:08
py3-language-data-1.1-r1.apk4.5 MiB2024-04-16 00:00:09
py3-language-data-pyc-1.1-r1.apk2.7 MiB2024-04-16 00:00:09
py3-latex2mathml-3.77.0-r1.apk72.3 KiB2024-04-16 00:00:09
py3-latex2mathml-pyc-3.77.0-r1.apk34.9 KiB2024-04-16 00:00:09
py3-levenshtein-0.25.1-r2.apk247.4 KiB2024-04-14 14:22:57
py3-levenshtein-pyc-0.25.1-r2.apk9.4 KiB2024-04-14 14:22:57
py3-lib_users-0.15-r3.apk8.3 KiB2024-04-16 00:00:09
py3-lib_users-pyc-0.15-r3.apk9.5 KiB2024-04-16 00:00:09
py3-libacl-0.7.0-r1.apk16.2 KiB2024-04-16 00:00:09
py3-libguestfs-1.52.0-r1.apk182.4 KiB2024-04-16 00:00:09
py3-libiio-0.25-r1.apk12.6 KiB2024-04-16 00:00:09
py3-liblarch-3.2.0-r4.apk26.8 KiB2024-04-16 00:00:09
py3-liblarch-pyc-3.2.0-r4.apk50.1 KiB2024-04-16 00:00:09
py3-libmdbx-0.10.2-r6.apk26.6 KiB2024-04-17 03:15:16
py3-libmdbx-pyc-0.10.2-r6.apk32.8 KiB2024-04-17 03:15:16
py3-libnacl-2.1.0-r1.apk20.3 KiB2024-04-16 00:00:09
py3-libnacl-pyc-2.1.0-r1.apk30.3 KiB2024-04-16 00:00:09
py3-librtmp-0.3.0-r6.apk34.8 KiB2024-04-16 00:00:09
py3-librtmp-pyc-0.3.0-r6.apk24.6 KiB2024-04-16 00:00:09
py3-limits-3.11.0-r0.apk32.5 KiB2024-04-22 08:24:36
py3-limits-pyc-3.11.0-r0.apk71.2 KiB2024-04-22 08:24:36
py3-lingua-franca-0.4.8_alpha3-r1.apk357.9 KiB2024-04-16 00:00:09
py3-lingua-franca-pyc-0.4.8_alpha3-r1.apk436.8 KiB2024-04-16 00:00:09
py3-linkify-it-py-2.0.3-r1.apk21.3 KiB2024-04-16 00:00:09
py3-linkify-it-py-pyc-2.0.3-r1.apk23.4 KiB2024-04-16 00:00:09
py3-litex-hub-modules-2023.12-r4.apk1.6 KiB2024-04-16 00:00:09
py3-litex-hub-modules-pyc-2023.12-r4.apk1.1 MiB2024-04-16 00:00:09
py3-litex-hub-pythondata-cpu-blackparrot-2023.12-r4.apk5.6 MiB2024-04-16 00:00:10
py3-litex-hub-pythondata-cpu-cv32e40p-2023.12-r4.apk1.9 MiB2024-04-16 00:00:10
py3-litex-hub-pythondata-cpu-cv32e41p-2023.12-r4.apk934.2 KiB2024-04-16 00:00:10
py3-litex-hub-pythondata-cpu-cva5-2023.12-r4.apk500.0 KiB2024-04-16 00:00:10
py3-litex-hub-pythondata-cpu-cva6-2023.12-r4.apk10.1 MiB2024-04-16 00:00:11
py3-litex-hub-pythondata-cpu-ibex-2023.12-r4.apk1.8 MiB2024-04-16 00:00:11
py3-litex-hub-pythondata-cpu-lm32-2023.12-r4.apk107.8 KiB2024-04-16 00:00:11
py3-litex-hub-pythondata-cpu-marocchino-2023.12-r4.apk207.9 KiB2024-04-16 00:00:11
py3-litex-hub-pythondata-cpu-microwatt-2023.12-r4.apk19.5 MiB2024-04-16 00:00:13
py3-litex-hub-pythondata-cpu-minerva-2023.12-r4.apk44.9 KiB2024-04-16 00:00:13
py3-litex-hub-pythondata-cpu-mor1kx-2023.12-r4.apk179.2 KiB2024-04-16 00:00:13
py3-litex-hub-pythondata-cpu-naxriscv-2023.12-r4.apk7.6 KiB2024-04-16 00:00:13
py3-litex-hub-pythondata-cpu-picorv32-2023.12-r4.apk228.0 KiB2024-04-16 00:00:13
py3-litex-hub-pythondata-cpu-rocket-2023.12-r4.apk10.7 MiB2024-04-16 00:00:14
py3-litex-hub-pythondata-cpu-serv-2023.12-r4.apk65.0 KiB2024-04-16 00:00:14
py3-litex-hub-pythondata-cpu-vexriscv-2023.12-r4.apk348.4 KiB2024-04-16 00:00:14
py3-litex-hub-pythondata-cpu-vexriscv_smp-2023.12-r4.apk2.4 MiB2024-04-16 00:00:14
py3-litex-hub-pythondata-misc-tapcfg-2023.12-r4.apk49.0 KiB2024-04-16 00:00:14
py3-litex-hub-pythondata-software-compiler_rt-2023.12-r4.apk2.2 MiB2024-04-16 00:00:15
py3-litex-hub-pythondata-software-picolibc-2023.12-r4.apk4.7 MiB2024-04-16 00:00:15
py3-litex-hub-valentyusb-2023.12-r4.apk111.5 KiB2024-04-16 00:00:15
py3-livestream-2.0.0-r2.apk767.1 KiB2024-04-17 03:15:16
py3-livestream-pyc-2.0.0-r2.apk30.6 KiB2024-04-17 03:15:16
py3-log-symbols-0.0.14-r4.apk3.3 KiB2024-04-16 00:00:15
py3-log-symbols-pyc-0.0.14-r4.apk3.1 KiB2024-04-16 00:00:15
py3-lsp-black-2.0.0-r1.apk7.5 KiB2024-04-16 00:00:15
py3-lsp-black-pyc-2.0.0-r1.apk6.4 KiB2024-04-16 00:00:15
py3-lsp-mypy-0.6.8-r1.apk11.5 KiB2024-04-16 00:00:15
py3-lsp-mypy-pyc-0.6.8-r1.apk11.2 KiB2024-04-16 00:00:15
py3-lsprotocol-2023.0.1-r1.apk69.5 KiB2024-04-16 00:00:15
py3-lsprotocol-pyc-2023.0.1-r1.apk107.1 KiB2024-04-16 00:00:15
py3-luhn-0.2.0-r8.apk3.0 KiB2024-04-16 00:00:15
py3-luhn-pyc-0.2.0-r8.apk2.6 KiB2024-04-16 00:00:15
py3-lunr-0.6.2-r3.apk30.7 KiB2024-04-16 00:00:15
py3-lunr-pyc-0.6.2-r3.apk50.6 KiB2024-04-16 00:00:15
py3-ly-0.9.8-r1.apk187.2 KiB2024-04-16 00:00:15
py3-ly-doc-0.9.8-r1.apk8.1 KiB2024-04-16 00:00:15
py3-ly-pyc-0.9.8-r1.apk355.1 KiB2024-04-16 00:00:15
py3-lzo-1.16-r1.apk16.6 KiB2024-04-16 00:00:15
py3-lzo-pyc-1.16-r1.apk2.0 KiB2024-04-16 00:00:15
py3-m2crypto-0.41.0-r1.apk193.9 KiB2024-04-16 00:00:15
py3-m2crypto-pyc-0.41.0-r1.apk122.6 KiB2024-04-16 00:00:15
py3-mando-0.7.1-r2.apk20.6 KiB2024-04-16 00:00:15
py3-mando-doc-0.7.1-r2.apk4.2 KiB2024-04-16 00:00:15
py3-mando-pyc-0.7.1-r2.apk36.1 KiB2024-04-16 00:00:15
py3-manuel-1.12.4-r2.apk34.1 KiB2024-04-16 00:00:15
py3-manuel-pyc-1.12.4-r2.apk26.1 KiB2024-04-16 00:00:15
py3-mapbox-earcut-1.0.1-r0.apk62.9 KiB2022-12-03 04:59:15
py3-marisa-trie-1.1.0-r0.apk138.9 KiB2024-04-16 00:00:15
py3-markdown2-2.4.13-r1.apk41.6 KiB2024-04-16 00:00:15
py3-markdown2-pyc-2.4.13-r1.apk62.2 KiB2024-04-16 00:00:15
py3-marshmallow-3.21.1-r1.apk47.0 KiB2024-04-16 00:00:15
py3-marshmallow-enum-1.5.1-r7.apk5.3 KiB2024-04-16 00:00:15
py3-marshmallow-enum-pyc-1.5.1-r7.apk4.5 KiB2024-04-16 00:00:15
py3-marshmallow-pyc-3.21.1-r1.apk82.8 KiB2024-04-16 00:00:15
py3-mbedtls-2.10.1-r1.apk888.7 KiB2024-04-28 19:13:30
py3-mbedtls-pyc-2.10.1-r1.apk27.4 KiB2024-04-28 19:13:30
py3-memory-profiler-0.61-r1.apk31.8 KiB2024-03-28 01:26:23
py3-memory-profiler-pyc-0.61-r1.apk49.7 KiB2024-03-28 01:26:23
py3-microdata-0.8.0-r0.apk6.2 KiB2024-04-28 19:33:28
py3-microdata-pyc-0.8.0-r0.apk6.5 KiB2024-04-28 19:33:28
py3-migen-0.9.2-r2.apk142.6 KiB2024-04-16 00:00:15
py3-migen-pyc-0.9.2-r2.apk295.6 KiB2024-04-16 00:00:15
py3-milc-1.8.0-r1.apk24.9 KiB2024-04-16 00:00:15
py3-milc-pyc-1.8.0-r1.apk37.7 KiB2024-04-16 00:00:15
py3-minidb-2.0.7-r3.apk9.9 KiB2024-04-16 00:00:15
py3-minidb-pyc-2.0.7-r3.apk23.6 KiB2024-04-16 00:00:15
py3-minidump-0.0.23-r1.apk63.4 KiB2024-04-16 00:00:15
py3-minidump-pyc-0.0.23-r1.apk126.7 KiB2024-04-16 00:00:15
py3-minikerberos-0.4.4-r1.apk128.6 KiB2024-04-16 00:00:15
py3-minikerberos-pyc-0.4.4-r1.apk263.6 KiB2024-04-16 00:00:15
py3-minio-7.2.0-r1.apk68.4 KiB2024-04-16 00:00:15
py3-minio-pyc-7.2.0-r1.apk137.5 KiB2024-04-16 00:00:16
py3-mistletoe-1.2.1-r1.apk42.0 KiB2024-04-16 00:00:16
py3-mistletoe-pyc-1.2.1-r1.apk91.6 KiB2024-04-16 00:00:16
py3-mitmproxy-rs-0.5.1-r0.apk658.8 KiB2024-04-16 00:00:16
py3-mitmproxy-rs-pyc-0.5.1-r0.apk3.1 KiB2024-04-16 00:00:16
py3-modbus-tk-1.1.1-r3.apk24.2 KiB2024-04-16 00:00:16
py3-modbus-tk-pyc-1.1.1-r3.apk48.5 KiB2024-04-16 00:00:16
py3-mopidy-jellyfin-1.0.4-r3.apk20.2 KiB2024-04-16 00:00:16
py3-mopidy-jellyfin-pyc-1.0.4-r3.apk36.7 KiB2024-04-16 00:00:16
py3-mopidy-local-3.2.1-r3.apk22.5 KiB2024-04-16 00:00:16
py3-mopidy-local-pyc-3.2.1-r3.apk34.2 KiB2024-04-16 00:00:16
py3-mopidy-mpd-3.3.0-r4.apk46.0 KiB2024-04-16 00:00:16
py3-mopidy-mpd-pyc-3.3.0-r4.apk73.8 KiB2024-04-16 00:00:16
py3-mopidy-tidal-0.3.2-r5.apk20.3 KiB2024-04-16 00:00:16
py3-mopidy-tidal-pyc-0.3.2-r5.apk35.6 KiB2024-04-16 00:00:16
py3-more-properties-1.1.1-r3.apk7.4 KiB2024-04-16 00:00:16
py3-more-properties-pyc-1.1.1-r3.apk8.2 KiB2024-04-16 00:00:16
py3-moviepy-1.0.3-r4.apk90.5 KiB2023-05-24 21:50:37
py3-moviepy-pyc-1.0.3-r4.apk163.6 KiB2023-05-24 21:50:37
py3-mpd2-3.1.1-r1.apk30.3 KiB2024-04-16 00:00:16
py3-mpd2-pyc-3.1.1-r1.apk57.3 KiB2024-04-16 00:00:16
py3-msldap-0.5.10-r1.apk137.6 KiB2024-04-16 00:00:16
py3-msldap-pyc-0.5.10-r1.apk312.1 KiB2024-04-16 00:00:16
py3-nanoid-2.0.0-r2.apk4.9 KiB2024-04-16 00:00:16
py3-nanoid-pyc-2.0.0-r2.apk3.9 KiB2024-04-16 00:00:16
py3-natpmp-1.3.2-r1.apk9.4 KiB2024-04-16 00:00:16
py3-natpmp-pyc-1.3.2-r1.apk9.9 KiB2024-04-16 00:00:16
py3-ncclient-0.6.13-r4.apk62.4 KiB2024-04-16 00:00:16
py3-ncclient-pyc-0.6.13-r4.apk106.7 KiB2024-04-16 00:00:16
py3-netifaces2-0.0.22-r0.apk194.8 KiB2024-04-16 00:39:54
py3-netifaces2-pyc-0.0.22-r0.apk9.2 KiB2024-04-16 00:39:54
py3-netmiko-4.3.0-r1.apk163.9 KiB2024-04-16 00:00:16
py3-netmiko-pyc-4.3.0-r1.apk321.5 KiB2024-04-16 00:00:16
py3-nmap-0.7.1-r3.apk20.1 KiB2024-04-16 00:00:16
py3-nmap-pyc-0.7.1-r3.apk25.5 KiB2024-04-16 00:00:16
py3-nose-of-yeti-2.4.8-r1.apk25.7 KiB2024-04-16 00:00:16
py3-nose-of-yeti-pyc-2.4.8-r1.apk36.6 KiB2024-04-16 00:00:16
py3-nose-timer-1.0.1-r5.apk8.4 KiB2024-04-16 00:00:16
py3-nose-timer-pyc-1.0.1-r5.apk9.9 KiB2024-04-16 00:00:16
py3-notifymail-1.1-r7.apk6.5 KiB2024-04-16 00:00:16
py3-notifymail-pyc-1.1-r7.apk5.8 KiB2024-04-16 00:00:16
py3-nptyping-2.5.0-r2.apk20.5 KiB2024-04-14 14:22:57
py3-nptyping-pyc-2.5.0-r2.apk32.1 KiB2024-04-14 14:22:57
py3-ntplib-0.4.0-r4.apk7.1 KiB2024-04-16 00:00:16
py3-ntplib-pyc-0.4.0-r4.apk8.6 KiB2024-04-16 00:00:16
py3-numpy-stl-3.0.1-r2.apk19.8 KiB2024-04-16 00:00:16
py3-numpy-stl-pyc-3.0.1-r2.apk26.9 KiB2024-04-16 00:00:16
py3-nwdiag-3.0.0-r2.apk4.9 MiB2024-04-16 00:00:16
py3-nwdiag-pyc-3.0.0-r2.apk77.9 KiB2024-04-16 00:00:16
py3-onelogin-3.1.6-r1.apk393.9 KiB2024-04-16 00:00:16
py3-onelogin-pyc-3.1.6-r1.apk899.9 KiB2024-04-16 00:00:16
py3-openapi-codec-1.3.2-r9.apk7.7 KiB2024-04-16 00:00:16
py3-openapi-codec-pyc-1.3.2-r9.apk11.7 KiB2024-04-16 00:00:16
py3-opendht-3.1.7-r2.apk152.4 KiB2024-04-16 00:00:16
py3-openssh-wrapper-0.5_git20130425-r4.apk8.2 KiB2024-04-16 00:00:16
py3-openssh-wrapper-pyc-0.5_git20130425-r4.apk10.4 KiB2024-04-16 00:00:16
py3-openwisp-utils-1.0.4-r2.apk492.2 KiB2024-04-16 00:00:16
py3-openwisp-utils-pyc-1.0.4-r2.apk42.3 KiB2024-04-16 00:00:16
py3-optuna-3.6.1-r1.apk292.0 KiB2024-04-16 00:00:16
py3-optuna-pyc-3.6.1-r1.apk572.6 KiB2024-04-16 00:00:17
py3-orderedmultidict-1.0.1-r6.apk10.7 KiB2024-04-16 00:00:17
py3-orderedmultidict-pyc-1.0.1-r6.apk17.2 KiB2024-04-16 00:00:17
py3-ovos-backend-client-0.1.0_alpha13-r1.apk40.8 KiB2024-04-16 00:00:17
py3-ovos-backend-client-pyc-0.1.0_alpha13-r1.apk89.9 KiB2024-04-16 00:00:17
py3-ovos-bus-client-0.0.5-r1.apk31.8 KiB2024-04-16 00:00:17
py3-ovos-bus-client-pyc-0.0.5-r1.apk51.5 KiB2024-04-16 00:00:17
py3-ovos-classifiers-0.0.0_alpha37-r1.apk84.9 KiB2024-04-16 00:00:17
py3-ovos-classifiers-pyc-0.0.0_alpha37-r1.apk134.8 KiB2024-04-16 00:00:17
py3-ovos-config-0.0.11-r1.apk30.3 KiB2024-04-16 00:00:17
py3-ovos-config-pyc-0.0.11-r1.apk32.3 KiB2024-04-16 00:00:17
py3-ovos-media-plugin-simple-0.0.1-r1.apk10.0 KiB2024-04-16 00:00:17
py3-ovos-media-plugin-simple-pyc-0.0.1-r1.apk8.3 KiB2024-04-16 00:00:17
py3-ovos-microphone-plugin-alsa-0.0.0-r1.apk8.7 KiB2024-04-16 00:00:17
py3-ovos-microphone-plugin-alsa-pyc-0.0.0-r1.apk4.7 KiB2024-04-16 00:00:17
py3-ovos-ocp-audio-plugin-0.0.6_alpha2-r2.apk550.3 KiB2024-04-16 00:00:17
py3-ovos-ocp-audio-plugin-pyc-0.0.6_alpha2-r2.apk86.1 KiB2024-04-16 00:00:17
py3-ovos-ocp-files-plugin-0.13.0-r1.apk47.1 KiB2024-04-16 00:00:17
py3-ovos-ocp-files-plugin-pyc-0.13.0-r1.apk103.7 KiB2024-04-16 00:00:17
py3-ovos-ocp-m3u-plugin-0.0.2_alpha1-r1.apk8.1 KiB2024-04-16 00:00:17
py3-ovos-ocp-m3u-plugin-pyc-0.0.2_alpha1-r1.apk3.4 KiB2024-04-16 00:00:17
py3-ovos-ocp-news-plugin-0.0.3-r1.apk11.4 KiB2024-04-16 00:00:17
py3-ovos-ocp-news-plugin-pyc-0.0.3-r1.apk8.9 KiB2024-04-16 00:00:17
py3-ovos-ocp-rss-plugin-0.0.2-r1.apk8.3 KiB2024-04-16 00:00:17
py3-ovos-ocp-rss-plugin-pyc-0.0.2-r1.apk3.7 KiB2024-04-16 00:00:17
py3-ovos-phal-plugin-connectivity-events-0.0.3-r1.apk5.0 KiB2024-04-16 00:00:17
py3-ovos-phal-plugin-connectivity-events-pyc-0.0.3-r1.apk5.1 KiB2024-04-16 00:00:17
py3-ovos-phal-plugin-ipgeo-0.0.2-r1.apk4.5 KiB2024-04-16 00:00:17
py3-ovos-phal-plugin-ipgeo-pyc-0.0.2-r1.apk4.4 KiB2024-04-16 00:00:17
py3-ovos-phal-plugin-network-manager-1.1.0-r1.apk10.9 KiB2024-04-16 00:00:17
py3-ovos-phal-plugin-network-manager-pyc-1.1.0-r1.apk8.8 KiB2024-04-16 00:00:17
py3-ovos-phal-plugin-oauth-0.0.2-r1.apk7.6 KiB2024-04-16 00:00:17
py3-ovos-phal-plugin-oauth-pyc-0.0.2-r1.apk8.1 KiB2024-04-16 00:00:17
py3-ovos-phal-plugin-system-0.0.4-r1.apk10.5 KiB2024-04-16 00:00:17
py3-ovos-phal-plugin-system-pyc-0.0.4-r1.apk9.1 KiB2024-04-16 00:00:17
py3-ovos-plugin-manager-0.0.24-r1.apk69.7 KiB2024-04-16 00:00:17
py3-ovos-plugin-manager-pyc-0.0.24-r1.apk129.5 KiB2024-04-16 00:00:17
py3-ovos-stt-plugin-server-0.0.4_alpha4-r1.apk10.1 KiB2024-04-16 00:00:17
py3-ovos-stt-plugin-server-pyc-0.0.4_alpha4-r1.apk6.8 KiB2024-04-16 00:00:17
py3-ovos-tts-plugin-mimic3-server-0.0.2_alpha4-r1.apk13.9 KiB2024-04-16 00:00:17
py3-ovos-tts-plugin-mimic3-server-pyc-0.0.2_alpha4-r1.apk14.3 KiB2024-04-16 00:00:17
py3-ovos-utils-0.0.36-r1.apk113.9 KiB2024-04-16 00:00:17
py3-ovos-utils-pyc-0.0.36-r1.apk210.1 KiB2024-04-16 00:00:17
py3-ovos-workshop-0.0.13_alpha17-r1.apk74.3 KiB2024-04-16 00:00:17
py3-ovos-workshop-pyc-0.0.13_alpha17-r1.apk132.2 KiB2024-04-16 00:00:17
py3-owslib-0.30.0-r0.apk179.8 KiB2024-04-28 22:32:06
py3-owslib-pyc-0.30.0-r0.apk387.4 KiB2024-04-28 22:32:06
py3-pacparser-1.4.3-r1.apk386.0 KiB2024-04-16 00:00:17
py3-pacparser-pyc-1.4.3-r1.apk4.1 KiB2024-04-16 00:00:17
py3-padacioso-0.2.0-r1.apk9.7 KiB2024-04-16 00:00:17
py3-padacioso-pyc-0.2.0-r1.apk7.7 KiB2024-04-16 00:00:17
py3-pam-2.0.2-r2.apk11.2 KiB2024-04-16 00:00:17
py3-pam-pyc-2.0.2-r2.apk13.0 KiB2024-04-16 00:00:17
py3-parse-1.20.0-r1.apk20.1 KiB2024-04-16 00:00:17
py3-parse-pyc-1.20.0-r1.apk18.2 KiB2024-04-16 00:00:17
py3-parver-0.5-r1.apk15.8 KiB2024-04-15 14:27:02
py3-parver-pyc-0.5-r1.apk26.0 KiB2024-04-15 14:27:02
py3-patatt-0.6.3-r1.apk24.8 KiB2024-04-16 00:00:17
py3-patatt-doc-0.6.3-r1.apk3.1 KiB2024-04-16 00:00:17
py3-patatt-pyc-0.6.3-r1.apk31.0 KiB2024-04-16 00:00:17
py3-pathvalidate-3.2.0-r1.apk18.9 KiB2024-04-16 00:00:17
py3-pathvalidate-pyc-3.2.0-r1.apk32.3 KiB2024-04-16 00:00:17
py3-pbkdf2-1.3-r6.apk6.1 KiB2024-04-16 00:00:17
py3-pbkdf2-pyc-1.3-r6.apk7.1 KiB2024-04-16 00:00:17
py3-pcbnewtransition-0.4.1-r0.apk7.7 KiB2024-04-16 16:22:47
py3-pcbnewtransition-pyc-0.4.1-r0.apk9.6 KiB2024-04-16 16:22:47
py3-pdal-3.2.3-r4.apk179.6 KiB2024-04-16 00:00:17
py3-pdal-pyc-3.2.3-r4.apk11.3 KiB2024-04-16 00:00:17
py3-pebble-5.0.7-r1.apk21.4 KiB2024-04-16 00:00:17
py3-pebble-pyc-5.0.7-r1.apk42.7 KiB2024-04-16 00:00:17
py3-pelican-4.9.1-r2.apk233.7 KiB2024-04-16 00:00:17
py3-pelican-pyc-4.9.1-r2.apk147.3 KiB2024-04-16 00:00:17
py3-pep8-naming-0.13.3-r2.apk8.6 KiB2024-04-16 00:00:17
py3-pep8-naming-pyc-0.13.3-r2.apk13.4 KiB2024-04-16 00:00:17
py3-phpserialize-1.3-r7.apk8.7 KiB2024-04-16 00:00:17
py3-phpserialize-pyc-1.3-r7.apk10.7 KiB2024-04-16 00:00:17
py3-piccata-2.0.3-r1.apk20.1 KiB2024-04-16 00:00:17
py3-piccata-pyc-2.0.3-r1.apk34.2 KiB2024-04-16 00:00:17
py3-pickle-secure-0.99.9-r1.apk7.5 KiB2024-04-14 17:05:00
py3-pickle-secure-pyc-0.99.9-r1.apk5.4 KiB2024-04-14 17:05:00
py3-pika-1.3.2-r1.apk143.1 KiB2024-04-16 00:00:17
py3-pika-pyc-1.3.2-r1.apk245.9 KiB2024-04-16 00:00:17
py3-pip-system-certs-4.0-r1.apk7.0 KiB2024-04-16 00:00:17
py3-pip-system-certs-pyc-4.0-r1.apk4.7 KiB2024-04-16 00:00:17
py3-pivy-0.6.9_alpha0-r0.apk1.9 MiB2024-04-19 00:18:39
py3-playsound-1.3.0-r1.apk6.9 KiB2024-04-16 00:00:17
py3-playsound-pyc-1.3.0-r1.apk8.5 KiB2024-04-16 00:00:17
py3-plexapi-4.15.11-r1.apk152.0 KiB2024-04-16 00:00:17
py3-plexapi-doc-4.15.11-r1.apk82.3 KiB2024-04-16 00:00:17
py3-plexapi-pyc-4.15.11-r1.apk302.2 KiB2024-04-16 00:00:17
py3-pockethernet-0.7.0-r3.apk14.7 KiB2024-04-16 00:00:17
py3-pockethernet-pyc-0.7.0-r3.apk25.2 KiB2024-04-16 00:00:17
py3-poetry-dynamic-versioning-1.2.0-r1.apk18.2 KiB2024-04-16 00:00:17
py3-poetry-dynamic-versioning-pyc-1.2.0-r1.apk22.7 KiB2024-04-16 00:00:17
py3-poppler-qt5-21.3.0-r1.apk119.6 KiB2024-04-16 00:00:17
py3-pprintpp-0.4.0-r1.apk13.9 KiB2024-04-16 00:00:17
py3-pprintpp-pyc-0.4.0-r1.apk16.3 KiB2024-04-16 00:00:17
py3-preggy-1.4.4-r4.apk16.3 KiB2024-04-16 00:00:17
py3-preggy-doc-1.4.4-r4.apk4.0 KiB2024-04-16 00:00:17
py3-preggy-pyc-1.4.4-r4.apk24.0 KiB2024-04-16 00:00:17
py3-proglog-0.1.10-r2.apk7.4 KiB2024-04-16 00:00:17
py3-proglog-pyc-0.1.10-r2.apk9.9 KiB2024-04-16 00:00:17
py3-progressbar2-4.2.0-r2.apk27.7 KiB2024-04-16 00:00:17
py3-progressbar2-pyc-4.2.0-r2.apk42.5 KiB2024-04-16 00:00:17
py3-proplot-0.9.7-r2.apk133.6 KiB2024-04-16 00:00:17
py3-proplot-pyc-0.9.7-r2.apk224.8 KiB2024-04-16 00:00:17
py3-protego-0.3.0-r1.apk33.2 KiB2024-04-16 00:00:17
py3-protego-pyc-0.3.0-r1.apk11.7 KiB2024-04-16 00:00:17
py3-proxmoxer-2.0.1-r3.apk14.7 KiB2024-04-16 00:00:17
py3-proxmoxer-pyc-2.0.1-r3.apk21.6 KiB2024-04-16 00:00:17
py3-publicsuffix2-2.20191221-r5.apk80.6 KiB2024-04-15 14:27:02
py3-publicsuffix2-pyc-2.20191221-r5.apk8.3 KiB2024-04-15 14:27:02
py3-pure_protobuf-3.0.1-r2.apk21.1 KiB2024-04-16 00:00:17
py3-pure_protobuf-pyc-3.0.1-r2.apk37.6 KiB2024-04-16 00:00:17
py3-puremagic-1.21-r1.apk34.1 KiB2024-04-16 00:00:17
py3-puremagic-pyc-1.21-r1.apk9.7 KiB2024-04-16 00:00:17
py3-py-radix-0.10.0-r9.apk19.6 KiB2024-04-16 00:00:17
py3-py-radix-pyc-0.10.0-r9.apk10.6 KiB2024-04-16 00:00:17
py3-pyatem-0.5.0-r3.apk52.6 KiB2024-04-16 00:00:17
py3-pyatem-pyc-0.5.0-r3.apk91.8 KiB2024-04-16 00:00:17
py3-pyautogui-0.9.53-r4.apk32.8 KiB2024-04-16 00:00:17
py3-pyautogui-pyc-0.9.53-r4.apk45.4 KiB2024-04-16 00:00:17
py3-pybars3-0.9.7-r5.apk12.1 KiB2024-04-16 00:00:17
py3-pybars3-pyc-0.9.7-r5.apk16.9 KiB2024-04-16 00:00:17
py3-pycaption-2.2.4-r0.apk356.8 KiB2024-03-25 14:37:12
py3-pycolorterm-0.2.1-r5.apk6.1 KiB2022-11-24 21:50:47
py3-pycosat-0.6.6-r1.apk44.3 KiB2024-04-16 00:00:17
py3-pydes-2.0.1-r4.apk9.7 KiB2024-04-14 20:33:46
py3-pydes-doc-2.0.1-r4.apk3.7 KiB2024-04-14 20:33:46
py3-pydes-pyc-2.0.1-r4.apk13.2 KiB2024-04-14 20:33:46
py3-pyexmdb-1.11-r0.apk121.8 KiB2024-04-26 06:36:54
py3-pygelbooru-0.5.0-r2.apk8.0 KiB2023-04-25 16:55:31
py3-pygelbooru-pyc-0.5.0-r2.apk11.6 KiB2023-04-25 16:55:31
py3-pygfm-2.0.0-r1.apk11.1 KiB2024-04-16 00:00:17
py3-pygfm-pyc-2.0.0-r1.apk13.2 KiB2024-04-16 00:00:17
py3-pyglm-2.7.1-r0.apk1.2 MiB2023-10-31 11:19:21
py3-pygpgme-0.3.1-r8.apk27.9 KiB2024-04-16 00:00:17
py3-pygpgme-pyc-0.3.1-r8.apk5.1 KiB2024-04-16 00:00:17
py3-pygtail-0.14.0-r3.apk15.0 KiB2024-04-16 00:00:17
py3-pygtail-pyc-0.14.0-r3.apk10.1 KiB2024-04-16 00:00:17
py3-pyinstrument-4.6.2-r1.apk78.8 KiB2024-04-16 00:00:17
py3-pyinstrument-pyc-4.6.2-r1.apk85.1 KiB2024-04-16 00:00:17
py3-pyisbn-1.3.1-r2.apk8.6 KiB2024-04-16 00:00:17
py3-pyisbn-pyc-1.3.1-r2.apk9.4 KiB2024-04-16 00:00:17
py3-pylru-1.2.1-r1.apk16.6 KiB2024-04-16 00:00:17
py3-pylru-pyc-1.2.1-r1.apk8.9 KiB2024-04-16 00:00:17
py3-pymaging-0.0.20130908-r9.apk16.6 KiB2024-04-16 00:00:17
py3-pymaging-png-0.0.20130727-r9.apk34.3 KiB2024-04-16 00:00:17
py3-pymaging-png-pyc-0.0.20130727-r9.apk52.0 KiB2024-04-16 00:00:17
py3-pymaging-pyc-0.0.20130908-r9.apk31.8 KiB2024-04-16 00:00:17
py3-pymata-2.20-r3.apk22.2 KiB2024-04-16 00:00:17
py3-pymata-pyc-2.20-r3.apk29.4 KiB2024-04-16 00:00:17
py3-pymata4-1.15-r3.apk22.8 KiB2024-04-16 00:00:17
py3-pymata4-pyc-1.15-r3.apk30.9 KiB2024-04-16 00:00:17
py3-pymeta3-0.5.1-r5.apk15.4 KiB2024-04-16 00:00:17
py3-pymeta3-pyc-0.5.1-r5.apk31.6 KiB2024-04-16 00:00:17
py3-pymsgbox-1.0.9-r4.apk7.8 KiB2024-04-16 00:00:17
py3-pymsgbox-pyc-1.0.9-r4.apk9.9 KiB2024-04-16 00:00:17
py3-pymsteams-0.2.2-r3.apk7.7 KiB2024-04-16 00:00:17
py3-pymsteams-pyc-0.2.2-r3.apk6.6 KiB2024-04-16 00:00:17
py3-pynest2d-5.2.2-r4.apk277.8 KiB2024-04-22 19:33:06
py3-pyparted-3.13.0-r1.apk77.3 KiB2024-04-16 00:00:17
py3-pyparted-pyc-3.13.0-r1.apk42.2 KiB2024-04-16 00:00:17
py3-pypubsub-4.0.3-r0.apk52.4 KiB2024-05-04 14:39:37
py3-pypubsub-doc-4.0.3-r0.apk2.1 KiB2024-05-04 14:39:37
py3-pypubsub-pyc-4.0.3-r0.apk89.9 KiB2024-05-04 14:39:37
py3-pyrebase-3.0.27-r4.apk9.3 KiB2024-04-16 00:00:17
py3-pyrebase-pyc-3.0.27-r4.apk17.6 KiB2024-04-16 00:00:17
py3-pyroma-4.2-r0.apk21.9 KiB2024-04-16 00:00:17
py3-pyroma-pyc-4.2-r0.apk25.9 KiB2024-04-16 00:00:17
py3-pyscreeze-0.1.29-r2.apk12.7 KiB2024-04-16 00:00:17
py3-pyscreeze-pyc-0.1.29-r2.apk14.2 KiB2024-04-16 00:00:17
py3-pysequoia-0.1.20-r2.apk1.5 MiB2024-04-17 03:15:16
py3-pysequoia-pyc-0.1.20-r2.apk1.9 KiB2024-04-17 03:15:16
py3-pysimplesoap-1.16.2-r6.apk43.0 KiB2024-04-16 00:00:17
py3-pysimplesoap-pyc-1.16.2-r6.apk78.2 KiB2024-04-16 00:00:17
py3-pysonic-1.0.1-r1.apk34.7 KiB2024-04-16 00:00:17
py3-pysonic-pyc-1.0.1-r1.apk31.9 KiB2024-04-16 00:00:17
py3-pyspinel-1.0.3-r1.apk56.0 KiB2024-04-16 00:00:17
py3-pyspinel-pyc-1.0.3-r1.apk63.0 KiB2024-04-16 00:00:17
py3-pysrt-1.1.2-r3.apk13.6 KiB2024-04-16 00:00:17
py3-pysrt-pyc-1.1.2-r3.apk23.0 KiB2024-04-16 00:00:17
py3-pystache-0.6.5-r1.apk68.1 KiB2024-04-16 00:00:17
py3-pystache-pyc-0.6.5-r1.apk96.7 KiB2024-04-16 00:00:17
py3-pytaglib-1.5.0-r3.apk36.4 KiB2024-04-16 00:00:17
py3-pytaglib-pyc-1.5.0-r3.apk3.0 KiB2024-04-16 00:00:17
py3-pyte-0.8.2-r1.apk27.1 KiB2024-04-16 00:00:17
py3-pyte-pyc-0.8.2-r1.apk39.5 KiB2024-04-16 00:00:17
py3-pytest-expect-1.1.0-r9.apk5.0 KiB2024-04-17 03:15:16
py3-pytest-expect-pyc-1.1.0-r9.apk7.0 KiB2024-04-17 03:15:16
py3-pytest-helpers-namespace-2021.12.29-r3.apk10.8 KiB2024-04-16 00:00:17
py3-pytest-helpers-namespace-pyc-2021.12.29-r3.apk6.2 KiB2024-04-16 00:00:17
py3-pytest-html-4.1.1-r1.apk21.6 KiB2024-04-16 00:00:17
py3-pytest-html-pyc-4.1.1-r1.apk21.8 KiB2024-04-16 00:00:17
py3-pytest-metadata-3.1.1-r0.apk10.2 KiB2024-04-16 00:00:17
py3-pytest-metadata-pyc-3.1.1-r0.apk7.9 KiB2024-04-16 00:00:17
py3-pytest-mypy-0.10.3-r2.apk8.1 KiB2024-04-16 00:00:17
py3-pytest-mypy-pyc-0.10.3-r2.apk9.6 KiB2024-04-16 00:00:17
py3-pytest-regtest-2.1.1-r1.apk10.0 KiB2024-04-16 00:00:17
py3-pytest-regtest-pyc-2.1.1-r1.apk10.9 KiB2024-04-16 00:00:17
py3-pytest-subprocess-1.5.0-r2.apk18.0 KiB2024-04-16 00:00:17
py3-pytest-subprocess-pyc-1.5.0-r2.apk24.5 KiB2024-04-16 00:00:17
py3-python-archive-0.2-r6.apk6.4 KiB2024-04-16 00:00:17
py3-python-archive-pyc-0.2-r6.apk9.4 KiB2024-04-16 00:00:17
py3-python-iptables-1.0.1-r1.apk38.8 KiB2024-04-16 00:00:17
py3-python-iptables-pyc-1.0.1-r1.apk67.9 KiB2024-04-16 00:00:17
py3-python-jose-3.3.0-r2.apk28.5 KiB2024-04-15 13:10:22
py3-python-jose-doc-3.3.0-r2.apk3.1 KiB2024-04-15 13:10:22
py3-python-jose-pyc-3.3.0-r2.apk49.3 KiB2024-04-15 13:10:22
py3-python-logstash-0.4.8-r3.apk7.5 KiB2024-04-16 00:00:17
py3-python-logstash-doc-0.4.8-r3.apk2.3 KiB2024-04-16 00:00:17
py3-python-logstash-pyc-0.4.8-r3.apk8.4 KiB2024-04-16 00:00:17
py3-python-stdnum-1.19-r1.apk736.5 KiB2024-04-16 00:00:18
py3-python-stdnum-pyc-1.19-r1.apk290.6 KiB2024-04-16 00:00:18
py3-pytube-15.0.0-r1.apk53.1 KiB2024-04-16 00:00:18
py3-pytube-pyc-15.0.0-r1.apk90.5 KiB2024-04-16 00:00:18
py3-pytweening-1.2.0-r2.apk9.0 KiB2024-04-16 00:00:18
py3-pytweening-pyc-1.2.0-r2.apk9.0 KiB2024-04-16 00:00:18
py3-pyvcd-0.4.0-r1.apk23.0 KiB2024-04-16 00:00:18
py3-pyvcd-pyc-0.4.0-r1.apk40.3 KiB2024-04-16 00:00:18
py3-pyvows-3.0.0-r4.apk26.3 KiB2024-04-16 00:00:18
py3-pyvows-doc-3.0.0-r4.apk2.1 KiB2024-04-16 00:00:18
py3-pyvows-pyc-3.0.0-r4.apk48.8 KiB2024-04-16 00:00:18
py3-pyzor-1.0.0-r10.apk32.4 KiB2024-04-16 00:00:18
py3-pyzor-pyc-1.0.0-r10.apk53.7 KiB2024-04-16 00:00:18
py3-qasync-0.19.0-r1.apk35.7 KiB2024-04-16 00:00:18
py3-qbittorrent-api-2024.3.60-r0.apk54.7 KiB2024-04-01 10:25:03
py3-qbittorrent-api-doc-2024.3.60-r0.apk27.7 KiB2024-04-01 10:25:03
py3-qbittorrent-api-pyc-2024.3.60-r0.apk92.8 KiB2024-04-01 10:25:03
py3-qgis-3.28.12-r5.apk18.6 MiB2024-05-06 12:18:12
py3-qpageview-0.6.2-r1.apk98.0 KiB2024-04-16 00:00:18
py3-qpageview-doc-0.6.2-r1.apk56.3 KiB2024-04-16 00:00:18
py3-qpageview-pyc-0.6.2-r1.apk180.2 KiB2024-04-16 00:00:18
py3-qt.py-1.3.10-r0.apk30.9 KiB2024-04-16 00:00:18
py3-qt.py-pyc-1.3.10-r0.apk24.7 KiB2024-04-16 00:00:18
py3-quebra-frases-0.3.7-r1.apk8.8 KiB2024-04-16 00:00:18
py3-quebra-frases-pyc-0.3.7-r1.apk7.8 KiB2024-04-16 00:00:18
py3-queuelib-1.6.2-r4.apk12.5 KiB2024-04-16 00:00:18
py3-queuelib-pyc-1.6.2-r4.apk24.6 KiB2024-04-16 00:00:18
py3-rabbit-1.1.0-r7.apk10.8 KiB2024-04-16 00:00:18
py3-rabbit-pyc-1.1.0-r7.apk15.5 KiB2024-04-16 00:00:18
py3-radon-6.0.1-r1.apk32.2 KiB2024-04-16 00:00:18
py3-radon-doc-6.0.1-r1.apk5.2 KiB2024-04-16 00:00:18
py3-radon-pyc-6.0.1-r1.apk49.7 KiB2024-04-16 00:00:18
py3-rapidjson-1.12-r1.apk132.8 KiB2024-04-16 00:00:18
py3-recommonmark-0.7.1-r3.apk11.6 KiB2024-04-16 00:00:18
py3-recommonmark-pyc-0.7.1-r3.apk17.5 KiB2024-04-16 00:00:18
py3-recurring-ical-events-2.2.1-r1.apk18.9 KiB2024-04-16 00:00:18
py3-recurring-ical-events-pyc-2.2.1-r1.apk15.9 KiB2024-04-16 00:00:18
py3-redmine-2.4.0-r3.apk34.5 KiB2024-04-16 00:00:18
py3-redmine-pyc-2.4.0-r3.apk51.6 KiB2024-04-16 00:00:18
py3-remind-0.18.0-r3.apk12.6 KiB2024-04-16 00:00:18
py3-remind-pyc-0.18.0-r3.apk4.4 KiB2024-04-16 00:00:18
py3-requests-kerberos-0.14.0-r4.apk11.9 KiB2024-04-16 00:00:18
py3-requests-kerberos-pyc-0.14.0-r4.apk11.0 KiB2024-04-16 00:00:18
py3-requests-wsgi-adapter-0.4.1-r1.apk5.5 KiB2024-04-16 00:00:18
py3-requests-wsgi-adapter-pyc-0.4.1-r1.apk6.6 KiB2024-04-16 00:00:18
py3-rfc-bibtex-0.3.2-r6.apk11.6 KiB2024-04-16 00:00:18
py3-rfc-bibtex-pyc-0.3.2-r6.apk12.5 KiB2024-04-16 00:00:18
py3-rfc3987-1.3.8-r5.apk8.9 KiB2024-04-16 00:00:18
py3-rfc3987-pyc-1.3.8-r5.apk10.6 KiB2024-04-16 00:00:18
py3-rich-click-1.7.3-r1.apk30.7 KiB2024-04-16 00:00:18
py3-rich-click-pyc-1.7.3-r1.apk40.3 KiB2024-04-16 00:00:18
py3-riotctrl-0.5.0-r3.apk11.7 KiB2024-04-16 00:00:18
py3-riotctrl-pyc-0.5.0-r3.apk10.7 KiB2024-04-16 00:00:18
py3-rosdistro-0.9.0-r3.apk47.1 KiB2024-04-16 00:00:18
py3-rosdistro-pyc-0.9.0-r3.apk91.3 KiB2024-04-16 00:00:18
py3-rospkg-1.2.9-r5.apk28.8 KiB2024-04-16 00:00:18
py3-rospkg-pyc-1.2.9-r5.apk54.1 KiB2024-04-16 00:00:18
py3-rpio-0.10.1-r7.apk34.1 KiB2024-04-16 00:00:18
py3-rpio-pyc-0.10.1-r7.apk16.0 KiB2024-04-16 00:00:18
py3-rst-0.1-r8.apk5.7 KiB2024-04-16 00:00:18
py3-rst-pyc-0.1-r8.apk6.1 KiB2024-04-16 00:00:18
py3-rst.linker-2.4.0-r2.apk6.6 KiB2024-04-16 00:00:18
py3-rst.linker-pyc-2.4.0-r2.apk7.4 KiB2024-04-16 00:00:18
py3-rstr-3.2.2-r3.apk9.9 KiB2024-04-16 00:00:18
py3-rstr-pyc-3.2.2-r3.apk10.0 KiB2024-04-16 00:00:18
py3-rtree-1.1.0-r1.apk24.2 KiB2024-04-16 00:00:18
py3-rtree-pyc-1.1.0-r1.apk43.9 KiB2024-04-16 00:00:18
py3-sabctools-8.1.0-r1.apk90.7 KiB2024-04-16 00:00:18
py3-sabctools-pyc-8.1.0-r1.apk1.9 KiB2024-04-16 00:00:18
py3-schema-0.7.5-r3.apk17.7 KiB2024-04-16 00:00:18
py3-schema-pyc-0.7.5-r3.apk18.3 KiB2024-04-16 00:00:18
py3-scour-0.38.2-r1.apk56.4 KiB2024-04-16 00:00:18
py3-scour-pyc-0.38.2-r1.apk73.8 KiB2024-04-16 00:00:18
py3-scrapy-2.11.1-r1.apk240.0 KiB2024-04-16 00:00:18
py3-scrapy-pyc-2.11.1-r1.apk482.0 KiB2024-04-16 00:00:18
py3-scs-3.2.3-r3.apk140.5 KiB2024-04-16 00:00:18
py3-scs-pyc-3.2.3-r3.apk4.9 KiB2024-04-16 00:00:18
py3-seqdiag-3.0.0-r5.apk2.5 MiB2024-04-16 00:00:18
py3-seqdiag-pyc-3.0.0-r5.apk42.2 KiB2024-04-16 00:00:18
py3-setuptools-declarative-requirements-1.3.0-r3.apk9.7 KiB2024-04-16 00:00:18
py3-setuptools-declarative-requirements-pyc-1.3.0-r3.apk4.9 KiB2024-04-16 00:00:18
py3-setuptools-lint-0.6.0-r9.apk5.4 KiB2024-04-16 00:00:18
py3-setuptools-lint-pyc-0.6.0-r9.apk6.0 KiB2024-04-16 00:00:18
py3-sh-2.0.6-r1.apk38.0 KiB2024-04-16 00:00:18
py3-sh-pyc-2.0.6-r1.apk55.1 KiB2024-04-16 00:00:18
py3-shodan-1.31.0-r1.apk44.1 KiB2024-04-16 00:00:18
py3-shodan-doc-1.31.0-r1.apk7.2 KiB2024-04-16 00:00:18
py3-shodan-pyc-1.31.0-r1.apk79.1 KiB2024-04-16 00:00:18
py3-shortuuid-1.0.11-r3.apk9.4 KiB2024-04-16 00:00:18
py3-shortuuid-pyc-1.0.11-r3.apk12.1 KiB2024-04-16 00:00:18
py3-simber-0.2.6-r3.apk11.0 KiB2024-04-16 00:00:18
py3-simber-pyc-0.2.6-r3.apk16.4 KiB2024-04-16 00:00:18
py3-simplematch-1.4-r1.apk8.0 KiB2024-04-16 00:00:18
py3-simplematch-pyc-1.4-r1.apk5.8 KiB2024-04-16 00:00:18
py3-simplesoapy-1.5.1-r6.apk6.9 KiB2024-04-16 00:00:18
py3-simplesoapy-pyc-1.5.1-r6.apk11.8 KiB2024-04-16 00:00:18
py3-simplespectral-1.0.0-r4.apk6.6 KiB2024-04-16 00:00:18
py3-simplespectral-pyc-1.0.0-r4.apk8.1 KiB2024-04-16 00:00:18
py3-slidge-style-parser-0.1.6-r1.apk204.8 KiB2024-04-16 00:00:18
py3-slidge-style-parser-pyc-0.1.6-r1.apk2.0 KiB2024-04-16 00:00:18
py3-slixmpp-1.8.5-r2.apk382.7 KiB2024-04-14 17:05:00
py3-slixmpp-doc-1.8.5-r2.apk5.8 KiB2024-04-14 17:05:00
py3-slixmpp-pyc-1.8.5-r2.apk729.5 KiB2024-04-14 17:05:00
py3-snapshottest-0.6.0-r4.apk13.8 KiB2024-04-19 15:13:49
py3-snapshottest-pyc-0.6.0-r4.apk25.8 KiB2024-04-19 15:13:49
py3-soappy-0.52.28-r2.apk47.0 KiB2024-04-16 00:00:18
py3-soappy-pyc-0.52.28-r2.apk96.1 KiB2024-04-16 00:00:18
py3-soapy_power-1.6.1-r4.apk16.7 KiB2024-04-16 00:00:18
py3-soapy_power-pyc-1.6.1-r4.apk26.5 KiB2024-04-16 00:00:18
py3-solidpython-1.1.2-r2.apk78.7 KiB2024-04-16 00:00:18
py3-solidpython-pyc-1.1.2-r2.apk120.0 KiB2024-04-16 00:00:18
py3-sortedcollections-2.1.0-r4.apk10.3 KiB2024-04-16 00:00:18
py3-sortedcollections-pyc-2.1.0-r4.apk13.9 KiB2024-04-16 00:00:18
py3-sphinx-argparse-0.4.0-r3.apk14.3 KiB2024-04-16 00:00:18
py3-sphinx-argparse-pyc-0.4.0-r3.apk21.6 KiB2024-04-16 00:00:18
py3-sphinx-autodoc-typehints-2.0.1-r0.apk19.3 KiB2024-04-14 14:22:57
py3-sphinx-autodoc-typehints-pyc-2.0.1-r0.apk27.5 KiB2024-04-14 14:22:57
py3-sphinx-theme-better-0.1.5-r6.apk9.1 KiB2024-04-16 00:00:18
py3-sphinx-theme-better-pyc-0.1.5-r6.apk2.0 KiB2024-04-16 00:00:18
py3-sphinx-theme-bootstrap-0.8.1-r3.apk1.2 MiB2024-04-16 00:00:18
py3-sphinx-theme-bootstrap-pyc-0.8.1-r3.apk2.4 KiB2024-04-16 00:00:18
py3-sphinx-theme-bw-0.1.8-r7.apk64.8 KiB2024-04-16 00:00:18
py3-sphinx-theme-bw-pyc-0.1.8-r7.apk1.8 KiB2024-04-16 00:00:18
py3-sphinx-theme-cloud-1.10.0-r2.apk81.3 KiB2024-04-16 00:00:18
py3-sphinx-theme-cloud-pyc-1.10.0-r2.apk42.8 KiB2024-04-16 00:00:18
py3-sphinx-theme-epfl-1.1.1-r9.apk29.9 KiB2024-04-16 00:00:18
py3-sphinx-theme-epfl-pyc-1.1.1-r9.apk2.5 KiB2024-04-16 00:00:18
py3-sphinx-theme-guzzle-0.7.11-r6.apk2.4 MiB2024-04-16 00:00:19
py3-sphinx-theme-guzzle-pyc-0.7.11-r6.apk5.5 KiB2024-04-16 00:00:19
py3-sphinx-theme-quark-0.6.0-r2.apk10.4 KiB2023-04-25 16:55:32
py3-sphinx-theme-quark-pyc-0.6.0-r2.apk8.4 KiB2023-04-25 16:55:32
py3-sphinx-theme-readable-1.3.0-r9.apk8.8 KiB2024-04-16 00:00:19
py3-sphinx-theme-readable-pyc-1.3.0-r9.apk2.2 KiB2024-04-16 00:00:19
py3-sphinxcontrib-actdiag-3.0.0-r2.apk6.6 KiB2023-04-25 16:55:32
py3-sphinxcontrib-actdiag-pyc-3.0.0-r2.apk9.7 KiB2023-04-25 16:55:32
py3-sphinxcontrib-adadomain-0.2-r9.apk9.0 KiB2024-04-16 00:00:19
py3-sphinxcontrib-adadomain-pyc-0.2-r9.apk12.0 KiB2024-04-16 00:00:19
py3-sphinxcontrib-bitbucket-1.0-r8.apk5.7 KiB2024-04-16 00:00:19
py3-sphinxcontrib-bitbucket-pyc-1.0-r8.apk4.1 KiB2024-04-16 00:00:19
py3-sphinxcontrib-blockdiag-3.0.0-r4.apk7.5 KiB2024-04-16 00:00:19
py3-sphinxcontrib-blockdiag-pyc-3.0.0-r4.apk9.2 KiB2024-04-16 00:00:19
py3-sphinxcontrib-cacoo-2.0.0-r7.apk5.7 KiB2024-04-16 00:00:19
py3-sphinxcontrib-cacoo-pyc-2.0.0-r7.apk4.3 KiB2024-04-16 00:00:19
py3-sphinxcontrib-cartouche-1.1.2-r7.apk17.9 KiB2024-04-16 00:00:19
py3-sphinxcontrib-cartouche-pyc-1.1.2-r7.apk33.5 KiB2024-04-16 00:00:19
py3-sphinxcontrib-doxylink-1.12.2-r2.apk12.5 KiB2024-04-16 00:00:19
py3-sphinxcontrib-doxylink-pyc-1.12.2-r2.apk16.5 KiB2024-04-16 00:00:19
py3-sphinxcontrib-fulltoc-1.2.0-r8.apk9.1 KiB2024-04-16 00:00:19
py3-sphinxcontrib-fulltoc-pyc-1.2.0-r8.apk3.5 KiB2024-04-16 00:00:19
py3-sphinxcontrib-gist-0.1.0-r9.apk3.9 KiB2024-04-16 00:00:19
py3-sphinxcontrib-gist-pyc-0.1.0-r9.apk3.3 KiB2024-04-16 00:00:19
py3-sphinxcontrib-git-11.0.0-r7.apk17.1 KiB2024-04-16 00:00:19
py3-sphinxcontrib-git-pyc-11.0.0-r7.apk6.6 KiB2024-04-16 00:00:19
py3-sphinxcontrib-gravatar-0.1.2-r7.apk6.7 KiB2024-04-16 00:00:19
py3-sphinxcontrib-gravatar-pyc-0.1.2-r7.apk7.6 KiB2024-04-16 00:00:19
py3-sphinxcontrib-htsql-0.1.5-r8.apk10.6 KiB2024-04-16 00:00:19
py3-sphinxcontrib-htsql-pyc-0.1.5-r8.apk15.0 KiB2024-04-16 00:00:19
py3-sphinxcontrib-httpdomain-1.8.1-r3.apk18.1 KiB2024-04-16 00:00:19
py3-sphinxcontrib-httpdomain-lang-1.8.1-r3.apk4.3 KiB2024-04-16 00:00:19
py3-sphinxcontrib-httpdomain-pyc-1.8.1-r3.apk33.9 KiB2024-04-16 00:00:19
py3-sphinxcontrib-hydomain-0.1.0_git20230930-r1.apk21.4 KiB2024-04-16 00:00:19
py3-sphinxcontrib-hydomain-pyc-0.1.0_git20230930-r1.apk43.8 KiB2024-04-16 00:00:19
py3-sphinxcontrib-inheritance-0.9.0-r9.apk10.5 KiB2024-04-16 00:00:19
py3-sphinxcontrib-inheritance-pyc-0.9.0-r9.apk15.1 KiB2024-04-16 00:00:19
py3-sphinxcontrib-issuetracker-0.11-r7.apk11.1 KiB2024-04-16 00:00:19
py3-sphinxcontrib-issuetracker-pyc-0.11-r7.apk12.0 KiB2024-04-16 00:00:19
py3-sphinxcontrib-lassodomain-0.4-r8.apk7.9 KiB2024-04-16 00:00:19
py3-sphinxcontrib-lassodomain-pyc-0.4-r8.apk10.6 KiB2024-04-16 00:00:19
py3-sphinxcontrib-manpage-0.6-r8.apk4.1 KiB2024-04-16 00:00:19
py3-sphinxcontrib-manpage-pyc-0.6-r8.apk3.1 KiB2024-04-16 00:00:19
py3-sphinxcontrib-nwdiag-2.0.0-r7.apk8.7 KiB2024-04-16 00:00:19
py3-sphinxcontrib-nwdiag-pyc-2.0.0-r7.apk11.2 KiB2024-04-16 00:00:19
py3-sphinxcontrib-phpdomain-0.11.2-r1.apk11.2 KiB2024-04-16 00:00:19
py3-sphinxcontrib-phpdomain-pyc-0.11.2-r1.apk16.8 KiB2024-04-16 00:00:19
py3-sphinxcontrib-programoutput-0.17-r4.apk15.9 KiB2024-04-16 00:00:19
py3-sphinxcontrib-programoutput-pyc-0.17-r4.apk23.9 KiB2024-04-16 00:00:19
py3-sphinxcontrib-restbuilder-0.3-r6.apk11.3 KiB2024-04-16 00:00:19
py3-sphinxcontrib-restbuilder-pyc-0.3-r6.apk20.5 KiB2024-04-16 00:00:19
py3-sphinxcontrib-seqdiag-3.0.0-r5.apk7.6 KiB2024-04-16 00:00:19
py3-sphinxcontrib-seqdiag-pyc-3.0.0-r5.apk9.3 KiB2024-04-16 00:00:19
py3-sphinxcontrib-slide-1.0.0-r3.apk5.0 KiB2024-04-16 00:00:19
py3-sphinxcontrib-slide-pyc-1.0.0-r3.apk5.5 KiB2024-04-16 00:00:19
py3-sphinxcontrib-spelling-8.0.0-r3.apk15.3 KiB2024-04-16 00:00:19
py3-sphinxcontrib-spelling-pyc-8.0.0-r3.apk18.9 KiB2024-04-16 00:00:19
py3-sphinxcontrib-sqltable-2.0.0-r8.apk7.3 KiB2024-04-16 00:00:19
py3-sphinxcontrib-sqltable-pyc-2.0.0-r8.apk4.9 KiB2024-04-16 00:00:19
py3-sphinxcontrib-textstyle-0.2.3-r8.apk6.1 KiB2024-04-16 00:00:19
py3-sphinxcontrib-textstyle-pyc-0.2.3-r8.apk5.7 KiB2024-04-16 00:00:19
py3-sphobjinv-2.3.1-r2.apk38.5 KiB2024-04-14 14:22:57
py3-sphobjinv-pyc-2.3.1-r2.apk52.1 KiB2024-04-14 14:22:57
py3-spidev-3.6-r0.apk14.7 KiB2022-12-09 01:33:21
py3-spin-0.8-r0.apk18.5 KiB2024-04-14 14:22:57
py3-spin-pyc-0.8-r0.apk24.5 KiB2024-04-14 14:22:57
py3-spinners-0.0.24-r4.apk5.7 KiB2024-04-16 00:00:19
py3-spinners-pyc-0.0.24-r4.apk6.3 KiB2024-04-16 00:00:19
py3-spnego-0.10.2-r1.apk118.9 KiB2024-04-16 00:00:19
py3-spnego-pyc-0.10.2-r1.apk219.1 KiB2024-04-16 00:00:19
py3-spotipy-2.23.0-r2.apk27.8 KiB2024-04-16 00:00:19
py3-spotipy-pyc-2.23.0-r2.apk48.5 KiB2024-04-16 00:00:19
py3-srt-3.5.3-r1.apk19.8 KiB2024-04-16 00:00:19
py3-srt-pyc-3.5.3-r1.apk14.9 KiB2024-04-16 00:00:19
py3-sstash-0.17-r8.apk7.5 KiB2024-04-16 00:00:19
py3-sstash-pyc-0.17-r8.apk10.3 KiB2024-04-16 00:00:19
py3-stringcase-1.2.0-r8.apk4.8 KiB2024-04-14 14:22:57
py3-stringcase-pyc-1.2.0-r8.apk3.9 KiB2024-04-14 14:22:57
py3-svgpath-6.3-r2.apk17.1 KiB2024-04-16 00:00:19
py3-svgpath-pyc-6.3-r2.apk21.7 KiB2024-04-16 00:00:19
py3-swagger-ui-bundle-1.1.0-r1.apk2.5 MiB2024-04-16 00:00:19
py3-swagger-ui-bundle-pyc-1.1.0-r1.apk2.1 KiB2024-04-16 00:00:19
py3-syrupy-4.6.1-r1.apk42.6 KiB2024-04-16 00:00:19
py3-syrupy-pyc-4.6.1-r1.apk69.8 KiB2024-04-16 00:00:19
py3-tailer-0.4.1-r7.apk6.9 KiB2024-04-16 00:00:19
py3-tailer-pyc-0.4.1-r7.apk6.6 KiB2024-04-16 00:00:19
py3-tasklib-2.5.1-r2.apk23.1 KiB2024-04-16 00:00:19
py3-tasklib-pyc-2.5.1-r2.apk51.5 KiB2024-04-16 00:00:19
py3-telegram-0.18.0-r2.apk12.6 KiB2024-04-16 00:00:19
py3-telegram-bot-20.8-r1.apk386.3 KiB2024-04-16 00:00:19
py3-telegram-bot-pyc-20.8-r1.apk653.9 KiB2024-04-16 00:00:19
py3-telegram-pyc-0.18.0-r2.apk20.9 KiB2024-04-16 00:00:19
py3-telegram-text-0.2.0-r1.apk9.2 KiB2024-04-16 00:00:19
py3-telegram-text-pyc-0.2.0-r1.apk13.0 KiB2024-04-16 00:00:19
py3-telemetrix-1.20-r2.apk20.8 KiB2024-04-16 00:00:19
py3-telemetrix-pyc-1.20-r2.apk31.1 KiB2024-04-16 00:00:19
py3-teletype-1.3.4-r3.apk15.4 KiB2024-04-16 00:00:19
py3-teletype-pyc-1.3.4-r3.apk20.5 KiB2024-04-16 00:00:19
py3-testresources-2.0.1-r5.apk16.0 KiB2023-04-25 16:55:32
py3-testresources-pyc-2.0.1-r5.apk16.6 KiB2023-04-25 16:55:32
py3-textual-0.47.1-r1.apk438.9 KiB2024-04-16 00:00:19
py3-textual-pyc-0.47.1-r1.apk871.3 KiB2024-04-16 00:00:19
py3-tg-0.19.0-r4.apk35.6 KiB2024-04-16 00:00:19
py3-tg-pyc-0.19.0-r4.apk80.7 KiB2024-04-16 00:00:19
py3-thefuzz-0.22.1-r1.apk10.0 KiB2024-04-16 00:00:19
py3-thefuzz-pyc-0.22.1-r1.apk8.9 KiB2024-04-16 00:00:19
py3-ticket-auth-0.1.4-r8.apk5.7 KiB2024-04-16 00:00:19
py3-ticket-auth-pyc-0.1.4-r8.apk6.4 KiB2024-04-16 00:00:19
py3-tidalapi-0.7.4-r1.apk35.6 KiB2024-04-16 00:00:19
py3-tidalapi-pyc-0.7.4-r1.apk62.2 KiB2024-04-16 00:00:19
py3-timeago-1.0.16-r0.apk23.6 KiB2024-05-04 14:39:38
py3-timeago-doc-1.0.16-r0.apk2.8 KiB2024-05-04 14:39:38
py3-timeago-pyc-1.0.16-r0.apk27.7 KiB2024-05-04 14:39:38
py3-tls_parser-2.0.1-r1.apk9.2 KiB2024-04-16 00:00:19
py3-tls_parser-pyc-2.0.1-r1.apk17.4 KiB2024-04-16 00:00:19
py3-tlslite-ng-0.7.6-r7.apk165.8 KiB2024-04-16 00:00:19
py3-tlslite-ng-pyc-0.7.6-r7.apk274.8 KiB2024-04-16 00:00:19
py3-tokenizers-0.15.2-r1.apk1.5 MiB2024-04-16 00:00:19
py3-tokenizers-pyc-0.15.2-r1.apk29.2 KiB2024-04-16 00:00:19
py3-toposort-1.10-r3.apk9.5 KiB2024-04-16 00:00:19
py3-toposort-pyc-1.10-r3.apk3.7 KiB2024-04-16 00:00:19
py3-transitions-0.9.0-r3.apk85.0 KiB2024-04-16 00:00:19
py3-transitions-pyc-0.9.0-r3.apk114.6 KiB2024-04-16 00:00:19
py3-translationstring-1.4-r3.apk7.9 KiB2024-04-16 00:00:19
py3-translationstring-pyc-1.4-r3.apk8.8 KiB2024-04-16 00:00:19
py3-trivup-0.12.2-r1.apk33.0 KiB2024-04-16 00:00:19
py3-trivup-pyc-0.12.2-r1.apk54.9 KiB2024-04-16 00:00:19
py3-truststore-0.8.0-r1.apk16.5 KiB2024-04-16 00:00:19
py3-truststore-pyc-0.8.0-r1.apk23.5 KiB2024-04-16 00:00:19
py3-twiggy-0.5.1-r3.apk22.7 KiB2024-04-16 00:00:19
py3-twiggy-pyc-0.5.1-r3.apk38.7 KiB2024-04-16 00:00:19
py3-typing_inspect-0.9.0-r2.apk9.9 KiB2024-04-16 00:00:19
py3-typing_inspect-pyc-0.9.0-r2.apk14.2 KiB2024-04-16 00:00:19
py3-u-msgpack-2.8.0-r1.apk10.5 KiB2024-04-17 03:15:16
py3-u-msgpack-pyc-2.8.0-r1.apk16.3 KiB2024-04-17 03:15:16
py3-uc-micro-py-1.0.2-r1.apk9.1 KiB2024-04-16 00:00:19
py3-unearth-0.15.2-r0.apk40.9 KiB2024-04-25 19:38:33
py3-unearth-pyc-0.15.2-r0.apk81.3 KiB2024-04-25 19:38:33
py3-unicorn-2.0.1-r4.apk33.7 KiB2024-04-19 15:25:28
py3-unicorn-pyc-2.0.1-r4.apk56.8 KiB2024-04-19 15:25:28
py3-unicrypto-0.0.10-r2.apk60.9 KiB2024-04-16 00:00:19
py3-unicrypto-pyc-0.0.10-r2.apk94.1 KiB2024-04-16 00:00:19
py3-unidns-0.0.1-r2.apk13.6 KiB2024-04-16 00:00:19
py3-unidns-examples-0.0.1-r2.apk2.7 KiB2024-04-16 00:00:19
py3-unidns-pyc-0.0.1-r2.apk21.6 KiB2024-04-16 00:00:19
py3-unoconv-0.9.0-r0.apk19.0 KiB2022-12-23 00:02:17
py3-untokenize-0.1.1-r3.apk4.2 KiB2024-04-16 00:00:19
py3-untokenize-pyc-0.1.1-r3.apk2.8 KiB2024-04-16 00:00:19
py3-uptime-3.0.1-r8.apk8.7 KiB2024-04-16 00:00:19
py3-uptime-pyc-3.0.1-r8.apk8.7 KiB2024-04-16 00:00:19
py3-uritools-4.0.2-r1.apk10.6 KiB2024-04-16 00:00:19
py3-uritools-pyc-4.0.2-r1.apk13.9 KiB2024-04-16 00:00:19
py3-urlobject-2.4.3-r8.apk14.3 KiB2024-04-16 00:00:19
py3-urlobject-pyc-2.4.3-r8.apk24.7 KiB2024-04-16 00:00:19
py3-us-3.1.1-r1.apk12.7 KiB2024-04-16 00:00:19
py3-us-pyc-3.1.1-r1.apk15.0 KiB2024-04-16 00:00:19
py3-utc-0.0.3-r8.apk3.3 KiB2024-04-16 00:00:19
py3-utc-pyc-0.0.3-r8.apk2.8 KiB2024-04-16 00:00:19
py3-utils-3.8.1-r1.apk25.3 KiB2024-04-16 00:00:19
py3-utils-pyc-3.8.1-r1.apk36.9 KiB2024-04-16 00:00:19
py3-vatnumber-1.2-r8.apk6.3 KiB2024-04-16 00:00:19
py3-vatnumber-pyc-1.2-r8.apk8.6 KiB2024-04-16 00:00:19
py3-vdf-3.4-r1.apk11.3 KiB2024-04-16 00:00:19
py3-vdf-pyc-3.4-r1.apk16.6 KiB2024-04-16 00:00:19
py3-venusian-3.1.0-r1.apk13.9 KiB2024-04-16 00:00:19
py3-venusian-pyc-3.1.0-r1.apk12.7 KiB2024-04-16 00:00:19
py3-virtualenvwrapper-6.1.0-r1.apk21.9 KiB2024-04-16 00:00:19
py3-virtualenvwrapper-pyc-6.1.0-r1.apk11.7 KiB2024-04-16 00:00:19
py3-visitor-0.1.3-r6.apk4.2 KiB2024-04-16 00:00:19
py3-visitor-pyc-0.1.3-r6.apk2.7 KiB2024-04-16 00:00:19
py3-ward-0.67.0_beta0-r2.apk40.7 KiB2024-04-16 00:00:19
py3-ward-pyc-0.67.0_beta0-r2.apk79.6 KiB2024-04-16 00:00:19
py3-wbdata-1.0.0-r1.apk18.5 KiB2024-04-16 00:00:19
py3-wbdata-pyc-1.0.0-r1.apk19.6 KiB2024-04-16 00:00:19
py3-webvtt-0.4.6-r1.apk12.0 KiB2024-04-16 00:00:19
py3-webvtt-pyc-0.4.6-r1.apk19.4 KiB2024-04-16 00:00:19
py3-wg-netns-2.3.1-r1.apk7.6 KiB2024-04-16 00:00:19
py3-wg-netns-pyc-2.3.1-r1.apk13.4 KiB2024-04-16 00:00:19
py3-wifi-0.3.8-r6.apk11.8 KiB2024-04-16 00:00:19
py3-wifi-pyc-0.3.8-r6.apk13.8 KiB2024-04-16 00:00:19
py3-winacl-0.1.8-r1.apk81.1 KiB2024-04-16 00:00:19
py3-winacl-pyc-0.1.8-r1.apk126.0 KiB2024-04-16 00:00:19
py3-wsgiprox-1.5.2-r0.apk16.7 KiB2023-10-28 23:53:36
py3-wsgiprox-pyc-1.5.2-r0.apk29.6 KiB2023-10-28 23:53:36
py3-wstools-0.4.10-r6.apk51.9 KiB2024-04-16 00:00:19
py3-wstools-pyc-0.4.10-r6.apk110.8 KiB2024-04-16 00:00:20
py3-wtf-peewee-3.0.5-r1.apk12.2 KiB2024-04-16 00:00:20
py3-wtf-peewee-pyc-3.0.5-r1.apk24.8 KiB2024-04-16 00:00:20
py3-x-wr-timezone-0.0.7-r1.apk11.3 KiB2024-04-16 00:00:20
py3-x-wr-timezone-pyc-0.0.7-r1.apk6.4 KiB2024-04-16 00:00:20
py3-xapp-2.4.1-r1.apk33.9 KiB2024-04-16 00:00:20
py3-xlwt-1.3.0-r9.apk94.6 KiB2024-04-16 00:00:20
py3-xlwt-pyc-1.3.0-r9.apk165.5 KiB2024-04-16 00:00:20
py3-xsdata-24.4-r1.apk185.3 KiB2024-04-16 00:00:20
py3-xsdata-pyc-24.4-r1.apk390.4 KiB2024-04-16 00:00:20
py3-yapsy-1.12.2-r7.apk32.2 KiB2024-04-16 00:00:20
py3-yapsy-pyc-1.12.2-r7.apk47.1 KiB2024-04-16 00:00:20
py3-yara-4.5.0-r1.apk18.4 KiB2024-04-16 00:00:20
py3-yosys-0.36-r3.apk1.8 KiB2024-04-22 19:33:06
py3-youtube-search-1.6.6-r3.apk77.4 KiB2024-04-17 03:15:16
py3-youtube-search-pyc-1.6.6-r3.apk96.2 KiB2024-04-17 03:15:16
py3-zfs-autobackup-3.2.2-r1.apk55.5 KiB2024-04-16 00:00:20
py3-zfs-autobackup-pyc-3.2.2-r1.apk69.8 KiB2024-04-16 00:00:20
py3-zimscraperlib-3.2.0-r0.apk50.0 KiB2024-01-21 15:58:28
py3-zimscraperlib-pyc-3.2.0-r0.apk69.7 KiB2024-01-21 15:58:28
py3-zope-configuration-5.0.1-r2.apk39.1 KiB2024-04-16 00:00:20
py3-zope-configuration-pyc-5.0.1-r2.apk48.5 KiB2024-04-16 00:00:20
py3-zope-i18nmessageid-6.1.0-r1.apk14.6 KiB2024-04-16 00:00:20
py3-zope-i18nmessageid-pyc-6.1.0-r1.apk8.0 KiB2024-04-16 00:00:20
py3-zope-schema-7.0.1-r2.apk43.2 KiB2024-04-16 00:00:20
py3-zope-schema-pyc-7.0.1-r2.apk61.1 KiB2024-04-16 00:00:20
pympress-1.8.5-r1.apk181.2 KiB2024-04-16 00:00:20
pympress-doc-1.8.5-r1.apk348.4 KiB2024-04-16 00:00:20
pympress-lang-1.8.5-r1.apk56.3 KiB2024-04-16 00:00:20
pympress-pyc-1.8.5-r1.apk182.2 KiB2024-04-16 00:00:20
pypy-7.3.12-r0.apk14.9 MiB2023-06-16 21:12:24
pypy-bootstrap-7.3.12-r0.apk15.6 MiB2023-06-16 21:12:25
pypy-dev-7.3.12-r0.apk78.1 KiB2023-06-16 21:12:25
pypy-tkinter-7.3.12-r0.apk452.7 KiB2023-06-16 21:12:25
pypy3-7.3.12-r0.apk14.6 MiB2023-06-16 21:12:25
pypy3-dev-7.3.12-r0.apk564.5 KiB2023-06-16 21:12:25
pypy3-pyc-7.3.12-r0.apk5.9 MiB2023-06-16 21:12:25
pypy3-tests-7.3.12-r0.apk12.9 MiB2023-06-16 21:12:25
pypy3-tkinter-7.3.12-r0.apk301.0 KiB2023-06-16 21:12:25
pypykatz-0.6.9-r1.apk313.9 KiB2024-04-16 00:00:20
pypykatz-pyc-0.6.9-r1.apk697.9 KiB2024-04-16 00:00:20
pyradio-0.9.3.4-r0.apk887.9 KiB2024-05-04 11:21:08
pyradio-doc-0.9.3.4-r0.apk107.9 KiB2024-05-04 11:21:08
pyradio-pyc-0.9.3.4-r0.apk791.6 KiB2024-05-04 11:21:08
qbittorrent-cli-2.0.0-r3.apk5.2 MiB2024-04-06 20:39:01
qdjango-0.6.2-r0.apk112.3 KiB2023-11-04 16:57:17
qdjango-dev-0.6.2-r0.apk14.1 KiB2023-11-04 16:57:17
qflipper-1.3.3-r0.apk517.1 KiB2023-11-15 23:45:28
qflipper-gui-1.3.3-r0.apk1.1 MiB2023-11-15 23:45:28
qgis-3.28.12-r5.apk47.0 MiB2024-05-06 12:18:13
qgis-dev-3.28.12-r5.apk2.5 MiB2024-05-06 12:18:13
qgis-doc-3.28.12-r5.apk3.0 KiB2024-05-06 12:18:13
qgis-grass-3.28.12-r5.apk1.6 MiB2024-05-06 12:18:13
qgis-lang-3.28.12-r5.apk29.7 MiB2024-05-06 12:18:13
qgis-server-3.28.12-r5.apk1.7 MiB2024-05-06 12:18:14
qmk-cli-1.1.5-r1.apk14.7 KiB2024-04-16 00:00:20
qmk-cli-pyc-1.1.5-r1.apk20.7 KiB2024-04-16 00:00:20
qml-asteroid-2.0.0-r0.apk73.0 KiB2023-08-31 09:46:51
qml-asteroid-dbg-2.0.0-r0.apk1.9 MiB2023-08-31 09:46:51
qml-asteroid-dev-2.0.0-r0.apk11.1 KiB2023-08-31 09:46:51
qml-box2d-0_git20180406-r0.apk146.8 KiB2020-07-29 06:01:14
qoi-0.0.0_git20230312-r0.apk1.5 KiB2023-03-17 06:15:00
qoi-dev-0.0.0_git20230312-r0.apk6.8 KiB2023-03-17 06:15:00
qoiconv-0.0.0_git20230312-r0.apk29.5 KiB2023-03-17 06:15:00
qownnotes-23.6.6-r0.apk2.5 MiB2023-06-26 13:26:40
qownnotes-lang-23.6.6-r0.apk4.4 MiB2023-06-26 13:26:40
qpdfview-0.5-r0.apk1.0 MiB2023-02-13 14:30:10
qpdfview-doc-0.5-r0.apk4.3 KiB2023-02-13 14:30:10
qperf-0.4.11-r1.apk35.2 KiB2022-10-25 00:07:55
qperf-doc-0.4.11-r1.apk5.5 KiB2022-10-25 00:07:55
qqc2-suru-style-0.20230206-r0.apk174.6 KiB2023-12-17 22:57:37
qsstv-9.5.8-r2.apk989.6 KiB2023-03-17 19:05:46
qstardict-1.3-r1.apk510.0 KiB2022-10-25 00:07:55
qstardict-doc-1.3-r1.apk10.9 KiB2022-10-25 00:07:55
qsynth-0.9.13-r0.apk432.3 KiB2024-02-03 20:58:13
qsynth-doc-0.9.13-r0.apk4.4 KiB2024-02-03 20:58:13
qt-jdenticon-0.3.0-r0.apk33.7 KiB2024-04-27 21:46:34
qt-jdenticon-doc-0.3.0-r0.apk2.2 KiB2024-04-27 21:46:34
qt-wayland-shell-helpers-0.1.1-r3.apk13.0 KiB2023-04-24 13:29:41
qt-wayland-shell-helpers-dev-0.1.1-r3.apk3.9 KiB2023-04-24 13:29:41
qt5ct-1.7-r0.apk245.0 KiB2023-04-18 11:42:22
qt5ct-dev-1.7-r0.apk1.5 KiB2023-04-18 11:42:22
qt6ct-0.9-r0.apk204.4 KiB2023-10-22 22:17:59
qtile-0.23.0-r1.apk375.0 KiB2024-04-16 00:00:20
qtile-pyc-0.23.0-r1.apk724.3 KiB2024-04-16 00:00:20
qtmir-0.7.2-r0.apk559.4 KiB2024-01-28 18:08:10
qtmir-dev-0.7.2-r0.apk6.9 KiB2024-01-28 18:08:10
qtox-1.17.6-r6.apk5.1 MiB2024-01-20 22:00:03
qtpass-1.4.0-r0.apk437.7 KiB2023-11-02 22:21:36
qtpass-doc-1.4.0-r0.apk2.1 KiB2023-11-02 22:21:36
quakespasm-0.96.1-r0.apk489.0 KiB2024-01-05 21:33:14
qucs-s-1.1.0-r1.apk3.6 MiB2023-08-01 13:01:20
qucs-s-doc-1.1.0-r1.apk2.4 KiB2023-08-01 13:01:20
qucs-s-lang-1.1.0-r1.apk864.6 KiB2023-08-01 13:01:20
queercat-1.0.0-r0.apk8.2 KiB2023-08-17 22:34:09
quodlibet-4.6.0-r1.apk1.1 MiB2024-04-16 00:00:20
quodlibet-bash-completion-4.6.0-r1.apk4.7 KiB2024-04-16 00:00:20
quodlibet-doc-4.6.0-r1.apk8.7 KiB2024-04-16 00:00:20
quodlibet-lang-4.6.0-r1.apk1.2 MiB2024-04-16 00:00:20
quodlibet-pyc-4.6.0-r1.apk1.8 MiB2024-04-16 00:00:20
quodlibet-zsh-completion-4.6.0-r1.apk2.7 KiB2024-04-16 00:00:20
rabbitmq-server-3.13.2-r0.apk17.7 MiB2024-05-01 08:52:46
rabbitmq-server-doc-3.13.2-r0.apk72.1 KiB2024-05-01 08:52:46
rabbitmq-server-openrc-3.13.2-r0.apk1.9 KiB2024-05-01 08:52:46
radio-cli-2.3.1-r0.apk1.3 MiB2024-01-25 20:23:52
rage-0.9.2-r1.apk2.3 MiB2023-07-01 09:31:24
rage-bash-completion-0.9.2-r1.apk2.4 KiB2023-07-01 09:31:24
rage-doc-0.9.2-r1.apk3.8 KiB2023-07-01 09:31:24
rage-fish-completion-0.9.2-r1.apk2.0 KiB2023-07-01 09:31:24
rage-zsh-completion-0.9.2-r1.apk2.3 KiB2023-07-01 09:31:24
railway-2.5.0-r0.apk1.6 MiB2024-05-03 19:48:46
railway-lang-2.5.0-r0.apk45.7 KiB2024-05-03 19:48:46
randrctl-1.9.0-r5.apk15.8 KiB2024-04-16 00:00:20
randrctl-pyc-1.9.0-r5.apk31.6 KiB2024-04-16 00:00:20
rankwidth-0.9-r3.apk5.6 KiB2023-08-01 13:01:20
rankwidth-dev-0.9-r3.apk2.9 KiB2023-08-01 13:01:20
rankwidth-doc-0.9-r3.apk3.0 KiB2023-08-01 13:01:20
rankwidth-libs-0.9-r3.apk5.2 KiB2023-08-01 13:01:20
rankwidth-static-0.9-r3.apk4.8 KiB2023-08-01 13:01:20
rapidfuzz-3.0.0-r0.apk63.1 KiB2024-01-03 16:13:39
raspberrypi-usbboot-20210701-r2.apk1.5 MiB2023-02-03 15:04:07
rathole-0.5.0-r0.apk1.4 MiB2023-10-05 20:24:09
rattler-build-0.16.0-r0.apk5.2 MiB2024-05-06 21:35:40
rattler-build-bash-completion-0.16.0-r0.apk3.6 KiB2024-05-06 21:35:40
rattler-build-doc-0.16.0-r0.apk6.7 KiB2024-05-06 21:35:40
rattler-build-fish-completion-0.16.0-r0.apk4.6 KiB2024-05-06 21:35:40
rattler-build-zsh-completion-0.16.0-r0.apk5.4 KiB2024-05-06 21:35:40
rauc-1.10.1-r0.apk146.0 KiB2023-08-08 17:58:25
rauc-doc-1.10.1-r0.apk4.2 KiB2023-08-08 17:58:25
rauc-service-1.10.1-r0.apk3.7 KiB2023-08-08 17:58:25
razercfg-0.42-r6.apk83.3 KiB2024-04-16 00:00:20
razercfg-gui-0.42-r6.apk19.0 KiB2024-04-16 00:00:20
razercfg-openrc-0.42-r6.apk1.7 KiB2024-04-16 00:00:20
razercfg-pyc-0.42-r6.apk35.8 KiB2024-04-16 00:00:20
rbw-1.10.0-r0.apk3.1 MiB2024-04-25 01:18:31
rbw-bash-completion-1.10.0-r0.apk3.1 KiB2024-04-25 01:18:31
rbw-fish-completion-1.10.0-r0.apk3.1 KiB2024-04-25 01:18:31
rbw-zsh-completion-1.10.0-r0.apk3.9 KiB2024-04-25 01:18:31
rclone-browser-1.8.0-r1.apk348.7 KiB2022-10-25 00:07:56
rcon-cli-1.6.2-r3.apk2.4 MiB2024-04-06 20:39:01
rdedup-3.2.1-r4.apk1.0 MiB2023-10-22 05:31:36
rdrview-0.1.1-r0.apk30.6 KiB2024-03-11 01:49:32
rdrview-doc-0.1.1-r0.apk3.7 KiB2024-03-11 01:49:32
reaction-1.3.0-r2.apk2.0 MiB2024-04-06 20:39:02
reaction-openrc-1.3.0-r2.apk1.9 KiB2024-04-06 20:39:02
reaction-tools-1.3.0-r2.apk18.7 KiB2024-04-06 20:39:02
readosm-1.1.0-r2.apk15.7 KiB2021-09-27 22:25:00
readosm-dev-1.1.0-r2.apk20.7 KiB2021-09-27 22:25:00
reason-3.8.2-r1.apk17.5 MiB2024-03-23 20:58:32
reason-rtop-3.8.2-r1.apk24.3 MiB2024-03-23 20:58:34
reaver-wps-fork-t6x-1.6.6-r1.apk418.9 KiB2022-10-25 00:07:56
recoll-1.37.5-r1.apk2.9 MiB2024-04-16 00:00:21
recoll-dev-1.37.5-r1.apk53.1 KiB2024-04-16 00:00:21
recoll-doc-1.37.5-r1.apk21.1 KiB2024-04-16 00:00:21
reg-0.16.1-r20.apk4.3 MiB2024-04-06 20:39:02
regal-0.21.3-r0.apk7.5 MiB2024-05-04 11:21:08
regal-bash-completion-0.21.3-r0.apk5.1 KiB2024-05-04 11:21:08
regal-fish-completion-0.21.3-r0.apk4.3 KiB2024-05-04 11:21:08
regal-zsh-completion-0.21.3-r0.apk4.0 KiB2024-05-04 11:21:08
release-plz-0.3.65-r0.apk6.0 MiB2024-05-06 14:06:44
release-plz-bash-completion-0.3.65-r0.apk2.7 KiB2024-05-06 14:06:44
release-plz-doc-0.3.65-r0.apk4.1 KiB2024-05-06 14:06:44
release-plz-fish-completion-0.3.65-r0.apk3.6 KiB2024-05-06 14:06:44
release-plz-zsh-completion-0.3.65-r0.apk4.2 KiB2024-05-06 14:06:44
remake-1.5-r1.apk146.8 KiB2022-10-25 00:07:56
remake-dev-1.5-r1.apk2.9 KiB2022-10-25 00:07:56
remake-doc-1.5-r1.apk201.7 KiB2022-10-25 00:07:56
remake-make-1.5-r1.apk1.5 KiB2022-10-25 00:07:56
remind-caldav-0.8.0-r3.apk6.5 KiB2024-04-16 00:00:21
remind-caldav-pyc-0.8.0-r3.apk6.2 KiB2024-04-16 00:00:21
repgrep-0.15.0-r0.apk1.2 MiB2024-01-04 19:35:05
repgrep-bash-completion-0.15.0-r0.apk1.6 KiB2024-01-04 19:35:05
repgrep-doc-0.15.0-r0.apk6.5 KiB2024-01-04 19:35:05
repgrep-fish-completion-0.15.0-r0.apk4.1 KiB2024-01-04 19:35:05
repgrep-zsh-completion-0.15.0-r0.apk1.6 KiB2024-01-04 19:35:05
repo-2.42-r0.apk17.4 KiB2024-03-11 01:49:32
repo-doc-2.42-r0.apk40.3 KiB2024-03-11 01:49:32
repowerd-2023.07-r0.apk924.5 KiB2023-12-17 22:57:38
repowerd-openrc-2023.07-r0.apk1.7 KiB2023-12-17 22:57:38
reredirect-0.3-r0.apk9.0 KiB2022-08-06 08:50:33
reredirect-doc-0.3-r0.apk2.8 KiB2022-08-06 08:50:33
responder-3.1.4.0-r0.apk749.1 KiB2024-01-05 21:33:14
restart-services-0.17.0-r0.apk11.5 KiB2021-12-18 23:16:22
restart-services-doc-0.17.0-r0.apk5.9 KiB2021-12-18 23:16:22
restic.mk-0.4.0-r0.apk2.9 KiB2023-05-13 08:44:15
restinio-0.6.17-r6.apk1.5 KiB2024-04-22 19:33:06
restinio-dev-0.6.17-r6.apk272.9 KiB2024-04-22 19:33:06
rezolus-2.11.1-r3.apk883.3 KiB2023-05-24 09:34:25
rezolus-doc-2.11.1-r3.apk3.4 KiB2023-05-24 09:34:25
rezolus-openrc-2.11.1-r3.apk2.1 KiB2023-05-24 09:34:25
rgxg-0.1.2-r2.apk14.8 KiB2023-05-16 12:37:29
rgxg-dev-0.1.2-r2.apk3.5 KiB2023-05-16 12:37:29
rgxg-doc-0.1.2-r2.apk12.3 KiB2023-05-16 12:37:29
rhasspy-nlu-0.4.0-r3.apk43.6 KiB2024-04-16 00:00:21
rhasspy-nlu-pyc-0.4.0-r3.apk72.9 KiB2024-04-16 00:00:21
ri-li-2.0.1-r1.apk18.3 MiB2022-10-25 00:07:57
riemann-cli-0.8.0-r2.apk532.3 KiB2023-05-24 09:34:25
rime-ls-0.2.4-r0.apk1.2 MiB2024-04-29 14:58:13
rinetd-0.73-r0.apk14.5 KiB2023-12-09 22:48:12
rinetd-doc-0.73-r0.apk16.5 KiB2023-12-09 22:48:12
rinetd-openrc-0.73-r0.apk1.7 KiB2023-12-09 22:48:12
rio-0.0.36-r0.apk7.1 MiB2024-03-15 12:06:19
rio-terminfo-0.0.36-r0.apk3.4 KiB2024-03-15 12:06:19
ripasso-cursive-0.6.5-r0.apk2.9 MiB2023-07-09 21:31:50
ripdrag-0.4.8-r0.apk353.4 KiB2024-04-28 10:27:41
rizin-0.6.3-r0.apk2.7 MiB2023-10-18 15:52:32
rizin-cutter-2.3.2-r2.apk2.2 MiB2024-04-16 16:48:15
rizin-cutter-dev-2.3.2-r2.apk107.9 KiB2024-04-16 16:48:15
rizin-dev-0.6.3-r0.apk306.1 KiB2023-10-18 15:52:32
rizin-doc-0.6.3-r0.apk17.7 KiB2023-10-18 15:52:32
rizin-libs-0.6.3-r0.apk4.5 MiB2023-10-18 15:52:32
rkdeveloptool-1.1.0-r0.apk58.1 KiB2022-02-03 02:37:31
rkdeveloptool-doc-1.1.0-r0.apk2.7 KiB2022-02-03 02:37:31
rke-1.4.3-r7.apk20.5 MiB2024-04-06 20:39:05
rke-doc-1.4.3-r7.apk3.0 KiB2024-04-06 20:39:05
rlottie-0.2_git20230831-r0.apk174.6 KiB2023-12-18 14:58:49
rlottie-dev-0.2_git20230831-r0.apk9.4 KiB2023-12-18 14:58:49
rlottie-doc-0.2_git20230831-r0.apk12.6 KiB2023-12-18 14:58:49
rmlint-2.10.2-r1.apk158.4 KiB2024-04-16 00:00:21
rmlint-doc-2.10.2-r1.apk17.8 KiB2024-04-16 00:00:21
rmlint-lang-2.10.2-r1.apk18.9 KiB2024-04-16 00:00:21
rmlint-shredder-2.10.2-r1.apk96.0 KiB2024-04-16 00:00:21
rmlint-shredder-pyc-2.10.2-r1.apk124.7 KiB2024-04-16 00:00:21
rnote-0.10.2-r0.apk9.1 MiB2024-04-06 20:39:06
rnote-cli-0.10.2-r0.apk3.6 MiB2024-04-06 20:39:06
rnote-lang-0.10.2-r0.apk338.2 KiB2024-04-06 20:39:06
rofi-blocks-0_git20210123-r0.apk13.0 KiB2022-02-01 18:26:37
rofi-json-menu-0.2.0-r0.apk5.6 KiB2022-02-01 20:25:01
rofi-pass-2.0.2-r2.apk8.8 KiB2021-12-29 20:51:22
rofi-pass-doc-2.0.2-r2.apk5.0 KiB2021-12-29 20:51:22
rosdep-0.19.0-r5.apk64.3 KiB2024-04-16 00:00:21
rosdep-pyc-0.19.0-r5.apk119.3 KiB2024-04-16 00:00:21
rosenpass-0.2.1-r0.apk961.4 KiB2023-11-22 10:54:51
roswell-22.12.14.113-r0.apk118.5 KiB2023-02-03 14:50:50
roswell-doc-22.12.14.113-r0.apk17.6 KiB2023-02-03 14:50:50
rpg-cli-1.0.1-r1.apk571.7 KiB2023-05-24 09:34:25
rss-email-0.5.0-r0.apk2.2 MiB2024-04-26 14:12:40
rss-email-doc-0.5.0-r0.apk6.4 KiB2024-04-26 14:12:40
rsstail-2.1-r1.apk7.6 KiB2022-10-25 00:07:58
rsstail-doc-2.1-r1.apk2.6 KiB2022-10-25 00:07:58
rtl-power-fftw-20200601-r4.apk64.1 KiB2024-04-24 20:46:51
rtl-power-fftw-doc-20200601-r4.apk8.2 KiB2024-04-24 20:46:51
rtl8812au-src-5.6.4.2_git20231103-r0.apk2.6 MiB2023-12-12 06:31:04
rtl8821ce-src-5_git20230504-r0.apk4.3 MiB2023-07-26 06:30:58
rtl88x2bu-src-5.13.1_git20230711-r0.apk4.0 MiB2023-07-26 06:30:58
rtmidi-6.0.0-r0.apk32.0 KiB2023-08-07 09:01:48
rtmidi-dev-6.0.0-r0.apk14.1 KiB2023-08-07 09:01:48
rtptools-1.22-r2.apk29.7 KiB2022-10-25 00:07:58
rtptools-doc-1.22-r2.apk12.6 KiB2022-10-25 00:07:58
rtw89-src-7_p20230725-r0.apk758.8 KiB2023-07-26 06:30:58
ruby-build-20240423-r0.apk104.6 KiB2024-04-30 22:09:31
ruby-build-doc-20240423-r0.apk4.6 KiB2024-04-30 22:09:31
ruby-build-runtime-20240423-r0.apk1.5 KiB2024-04-30 22:09:31
ruby-libguestfs-1.52.0-r1.apk105.1 KiB2024-04-16 00:00:21
rue-0.3.0-r1.apk42.4 MiB2022-08-20 14:14:54
ruff-lsp-0.0.53-r0.apk21.3 KiB2024-04-16 11:25:07
ruff-lsp-pyc-0.0.53-r0.apk34.7 KiB2024-04-16 11:25:07
runst-0.1.7-r0.apk1.5 MiB2024-03-27 01:10:47
runst-doc-0.1.7-r0.apk7.7 KiB2024-03-27 01:10:47
rust-script-0.34.0-r0.apk886.8 KiB2023-09-27 21:45:41
rustdesk-server-1.1.10.3-r0.apk2.4 MiB2024-02-11 22:57:09
rustdesk-server-openrc-1.1.10.3-r0.apk2.3 KiB2024-02-11 22:57:09
rustic-0.6.1-r1.apk2.8 MiB2024-01-07 20:34:55
rustic-bash-completion-0.6.1-r1.apk6.5 KiB2024-01-07 20:34:55
rustic-fish-completion-0.6.1-r1.apk12.4 KiB2024-01-07 20:34:55
rustic-zsh-completion-0.6.1-r1.apk9.4 KiB2024-01-07 20:34:55
rustscan-2.1.1-r1.apk1.4 MiB2023-05-24 09:34:25
rustypaste-cli-0.9.0-r0.apk808.8 KiB2024-03-27 22:56:13
rustypaste-cli-doc-0.9.0-r0.apk4.2 KiB2024-03-27 22:56:13
ruuvi-prometheus-0.1.7-r2.apk3.4 MiB2024-04-06 20:39:06
ruuvi-prometheus-openrc-0.1.7-r2.apk1.7 KiB2024-04-06 20:39:06
rvlprog-0.91-r1.apk28.1 KiB2022-10-25 00:07:58
ry-0.5.2-r1.apk4.6 KiB2022-10-25 00:07:58
ry-bash-completion-0.5.2-r1.apk2.0 KiB2022-10-25 00:07:58
ry-zsh-completion-0.5.2-r1.apk2.3 KiB2022-10-25 00:07:58
s-postgray-0.8.2-r0.apk49.5 KiB2024-03-15 19:09:10
s-postgray-doc-0.8.2-r0.apk9.3 KiB2024-03-15 19:09:10
s5cmd-2.2.2-r2.apk4.9 MiB2024-04-06 20:39:07
sacc-1.07-r0.apk15.9 KiB2023-11-02 12:51:31
sacc-doc-1.07-r0.apk2.9 KiB2023-11-02 12:51:31
sandbar-0.1-r0.apk14.3 KiB2023-06-30 21:03:05
sane-airscan-0.99.27-r1.apk193.2 KiB2023-04-30 01:19:56
sane-airscan-doc-0.99.27-r1.apk5.6 KiB2023-04-30 01:19:56
satellite-1.0.0-r20.apk2.0 MiB2024-04-06 20:39:07
satellite-doc-1.0.0-r20.apk3.0 KiB2024-04-06 20:39:07
satellite-openrc-1.0.0-r20.apk1.9 KiB2024-04-06 20:39:07
satty-0.12.0-r0.apk1.1 MiB2024-04-05 01:43:39
satty-bash-completion-0.12.0-r0.apk2.3 KiB2024-04-05 01:43:39
satty-fish-completion-0.12.0-r0.apk2.2 KiB2024-04-05 01:43:39
satty-zsh-completion-0.12.0-r0.apk2.5 KiB2024-04-05 01:43:39
sauerbraten-2020.12.29-r3.apk934.2 MiB2024-02-12 16:07:22
sbase-0_git20210730-r2.apk130.6 KiB2022-10-25 00:07:58
sbase-doc-0_git20210730-r2.apk58.3 KiB2022-10-25 00:07:58
sblg-0.5.11-r0.apk47.0 KiB2023-02-06 19:31:08
sblg-doc-0.5.11-r0.apk1.3 MiB2023-02-06 19:31:08
sblim-sfcc-2.2.8-r2.apk55.2 KiB2023-05-16 12:37:29
sblim-sfcc-dev-2.2.8-r2.apk22.3 KiB2023-05-16 12:37:29
sblim-sfcc-doc-2.2.8-r2.apk34.6 KiB2023-05-16 12:37:29
sblim-wbemcli-1.6.3-r1.apk112.3 KiB2022-10-25 00:07:58
sblim-wbemcli-doc-1.6.3-r1.apk4.5 KiB2022-10-25 00:07:58
sc-controller-0.4.8.13-r0.apk1.9 MiB2024-02-03 21:28:30
sc-controller-pyc-0.4.8.13-r0.apk921.9 KiB2024-02-03 21:28:30
sc-im-0.8.3-r0.apk177.3 KiB2023-03-12 18:42:24
sc-im-doc-0.8.3-r0.apk4.8 KiB2023-03-12 18:42:24
sc3-plugins-3.13.0-r1.apk10.3 MiB2023-02-24 13:27:40
scalingo-1.30.0-r2.apk5.1 MiB2024-04-06 20:39:07
scap-workbench-1.2.1-r2.apk249.2 KiB2023-02-13 14:32:27
scap-workbench-doc-1.2.1-r2.apk1.6 MiB2023-02-13 14:32:27
schismtracker-20231029-r0.apk367.6 KiB2023-11-19 13:22:32
schismtracker-doc-20231029-r0.apk6.2 KiB2023-11-19 13:22:32
scooper-1.3-r1.apk503.7 KiB2023-05-16 12:37:29
scooper-doc-1.3-r1.apk2.6 KiB2023-05-16 12:37:29
screenkey-1.5-r6.apk77.0 KiB2024-04-16 00:00:21
screenkey-doc-1.5-r6.apk11.0 KiB2024-04-16 00:00:21
screenkey-pyc-1.5-r6.apk73.4 KiB2024-04-16 00:00:21
scrypt-1.3.2-r0.apk31.7 KiB2023-10-03 09:42:53
scrypt-doc-1.3.2-r0.apk4.3 KiB2023-10-03 09:42:53
sct-2018.12.18-r1.apk3.8 KiB2021-10-28 20:50:45
scummvm-2.8.1-r0.apk105.5 MiB2024-04-16 00:00:30
scummvm-doc-2.8.1-r0.apk114.6 KiB2024-04-16 00:00:30
sdparm-1.12-r1.apk146.9 KiB2022-10-25 00:07:58
sdparm-doc-1.12-r1.apk19.3 KiB2022-10-25 00:07:58
seaweedfs-3.63-r1.apk19.2 MiB2024-04-06 20:39:09
seaweedfs-doc-3.63-r1.apk13.6 KiB2024-04-06 20:39:09
seaweedfs-openrc-3.63-r1.apk2.0 KiB2024-04-06 20:39:09
secsipidx-1.3.2-r4.apk2.5 MiB2024-04-06 20:39:09
secsipidx-dev-1.3.2-r4.apk4.5 MiB2024-04-06 20:39:10
secsipidx-libs-1.3.2-r4.apk2.2 MiB2024-04-06 20:39:10
sedutil-1.15.1-r1.apk195.4 KiB2022-10-25 00:07:58
sedutil-doc-1.15.1-r1.apk3.1 KiB2022-10-25 00:07:58
seed7-05.20240322-r0.apk10.0 MiB2024-03-24 13:42:40
seed7-doc-05.20240322-r0.apk1.6 MiB2024-03-24 13:42:40
seed7-nano-05.20240322-r0.apk2.5 KiB2024-03-24 13:42:40
seed7-vim-05.20240322-r0.apk4.0 KiB2024-03-24 13:42:40
sentinel-minipot-2.3.0-r1.apk42.9 KiB2023-12-13 18:15:20
sentinel-minipot-openrc-2.3.0-r1.apk2.6 KiB2023-12-13 18:15:20
sentinel-proxy-2.1.0-r0.apk43.9 KiB2023-11-18 17:32:21
sentinel-proxy-dev-2.1.0-r0.apk4.6 KiB2023-11-18 17:32:21
sentinel-proxy-openrc-2.1.0-r0.apk2.3 KiB2023-11-18 17:32:21
sequoia-chameleon-gnupg-0.8.0-r0.apk4.3 MiB2024-04-15 15:07:08
sequoia-sqv-1.2.1-r0.apk685.0 KiB2024-04-21 14:35:10
serialdv-1.1.4-r0.apk7.2 KiB2023-02-22 11:32:26
serialdv-dev-1.1.4-r0.apk5.4 KiB2023-02-22 11:32:26
serialdv-libs-1.1.4-r0.apk64.8 KiB2023-02-22 11:32:26
setroot-2.0.2-r1.apk11.9 KiB2022-10-25 00:07:58
setroot-doc-2.0.2-r1.apk4.4 KiB2022-10-25 00:07:58
sflowtool-6.02-r0.apk40.5 KiB2023-11-13 23:02:05
sflowtool-doc-6.02-r0.apk9.4 KiB2023-11-13 23:02:05
sfwbar-1.0_beta14-r0.apk201.3 KiB2024-01-04 01:16:08
sfwbar-doc-1.0_beta14-r0.apk21.8 KiB2024-01-04 01:16:08
sgt-puzzles-0_git20230310-r1.apk2.6 MiB2023-07-04 00:04:34
shc-4.0.3-r1.apk18.7 KiB2022-10-25 00:07:58
shellinabox-2.21-r3.apk118.4 KiB2023-05-16 12:37:29
shellinabox-doc-2.21-r3.apk19.4 KiB2023-05-16 12:37:29
shellinabox-openrc-2.21-r3.apk3.5 KiB2023-05-16 12:37:29
shfm-0.4.2-r1.apk4.0 KiB2022-10-25 00:07:58
shfm-doc-0.4.2-r1.apk6.1 KiB2022-10-25 00:07:58
shine-3.1.1-r0.apk55.6 KiB2020-07-22 16:35:26
shipments-0.3.0-r0.apk23.5 KiB2022-02-03 02:44:03
shntool-3.0.10-r4.apk63.0 KiB2022-10-08 15:22:03
shntool-doc-3.0.10-r4.apk10.3 KiB2022-10-08 15:22:03
shutdown-clear-machine-id-1.0.0-r0.apk1.8 KiB2023-08-21 10:47:46
sigma-0.23.1-r1.apk236.8 KiB2024-04-16 00:00:30
sigma-pyc-0.23.1-r1.apk340.0 KiB2024-04-16 00:00:30
sigrok-cli-0.7.2-r0.apk43.3 KiB2022-09-19 10:28:13
sigrok-cli-doc-0.7.2-r0.apk8.0 KiB2022-09-19 10:28:13
sigrok-firmware-fx2lafw-bin-0.1.7-r0.apk13.1 KiB2021-02-06 21:26:20
siji-ng-1.2.1-r0.apk19.9 KiB2022-08-30 14:36:56
silc-client-1.1.11-r16.apk874.5 KiB2023-10-18 16:23:47
silc-client-doc-1.1.11-r16.apk82.8 KiB2023-10-18 16:23:47
simgear-2020.3.19-r1.apk1.9 MiB2024-04-22 19:33:06
simgear-dev-2020.3.19-r1.apk394.2 KiB2024-04-22 19:33:06
simh-3.11.1-r1.apk3.2 MiB2022-10-25 00:07:58
simp1e-cursors-0_git20211003-r0.apk741.1 KiB2022-02-08 11:52:48
simp1e-cursors-breeze-0_git20211003-r0.apk857.1 KiB2022-02-08 11:52:48
simp1e-cursors-dark-0_git20211003-r0.apk779.7 KiB2022-02-08 11:52:48
simp1e-cursors-snow-0_git20211003-r0.apk827.9 KiB2022-02-08 11:52:48
simp1e-cursors-solarized-0_git20211003-r0.apk717.4 KiB2022-02-08 11:52:48
simpleble-0.6.1-r1.apk1.4 KiB2023-07-30 16:34:14
simpleble-dev-0.6.1-r1.apk20.9 KiB2023-07-30 16:34:14
sing-box-1.8.4-r3.apk10.3 MiB2024-04-06 20:39:11
sing-box-bash-completion-1.8.4-r3.apk5.1 KiB2024-04-06 20:39:11
sing-box-fish-completion-1.8.4-r3.apk4.4 KiB2024-04-06 20:39:11
sing-box-openrc-1.8.4-r3.apk1.8 KiB2024-04-06 20:39:11
sing-box-zsh-completion-1.8.4-r3.apk4.1 KiB2024-04-06 20:39:11
sing-geoip-20231212-r0.apk3.0 MiB2023-12-13 17:21:07
sing-geosite-20231212122459-r0.apk715.3 KiB2023-12-13 17:21:07
singular-4.3.2-r2.apk10.0 MiB2023-08-01 13:01:20
singular-dev-4.3.2-r2.apk370.0 KiB2023-08-01 13:01:20
singular-doc-4.3.2-r2.apk1.3 MiB2023-08-01 13:01:20
singular-emacs-4.3.2-r2.apk100.4 KiB2023-08-01 13:01:20
singular-static-4.3.2-r2.apk5.3 MiB2023-08-01 13:01:20
sipexer-1.1.0-r5.apk2.5 MiB2024-04-06 20:39:11
sipgrep-2.1.0-r1.apk27.3 KiB2022-10-25 00:07:58
sipgrep-doc-2.1.0-r1.apk4.1 KiB2022-10-25 00:07:58
siril-1.2.1-r2.apk2.9 MiB2024-03-11 01:49:33
siril-doc-1.2.1-r2.apk17.8 KiB2024-03-11 01:49:33
siril-lang-1.2.1-r2.apk1.5 MiB2024-03-11 01:49:33
sish-2.14.0-r1.apk7.6 MiB2024-04-06 20:39:11
sish-openrc-2.14.0-r1.apk2.0 KiB2024-04-06 20:39:11
slidge-0.1.0-r1.apk143.6 KiB2024-04-16 00:00:30
slidge-doc-0.1.0-r1.apk4.6 KiB2024-04-16 00:00:30
slidge-matridge-0_git20240208-r1.apk29.7 KiB2024-04-16 00:00:30
slidge-matridge-openrc-0_git20240208-r1.apk1.5 KiB2024-04-16 00:00:30
slidge-matridge-pyc-0_git20240208-r1.apk37.8 KiB2024-04-16 00:00:30
slidge-openrc-0.1.0-r1.apk2.3 KiB2024-04-16 00:00:30
slidge-pyc-0.1.0-r1.apk282.8 KiB2024-04-16 00:00:30
sloccount-2.26-r3.apk59.4 KiB2022-01-18 20:36:57
sloccount-doc-2.26-r3.apk59.4 KiB2022-01-18 20:36:57
slurm-0.4.4-r0.apk14.1 KiB2022-05-02 00:14:26
slurm-doc-0.4.4-r0.apk2.3 KiB2022-05-02 00:14:26
smplxmpp-0.9.3-r2.apk156.2 KiB2024-04-29 16:01:06
smplxmpp-doc-0.9.3-r2.apk24.9 KiB2024-04-29 16:01:06
snapper-0.10.7-r1.apk841.3 KiB2024-04-22 19:33:06
snapper-bash-completion-0.10.7-r1.apk3.1 KiB2024-04-22 19:33:06
snapper-dev-0.10.7-r1.apk10.7 KiB2024-04-22 19:33:06
snapper-doc-0.10.7-r1.apk20.7 KiB2024-04-22 19:33:06
snapper-lang-0.10.7-r1.apk174.0 KiB2024-04-22 19:33:06
snapper-zsh-completion-0.10.7-r1.apk3.6 KiB2024-04-22 19:33:06
snapraid-12.3-r0.apk272.5 KiB2024-01-26 03:29:59
snapraid-doc-12.3-r0.apk17.3 KiB2024-01-26 03:29:59
sndfile-tools-1.5-r1.apk39.3 KiB2023-07-30 16:34:14
sndfile-tools-doc-1.5-r1.apk361.0 KiB2023-07-30 16:34:14
snore-0.3.1-r0.apk4.5 KiB2023-11-14 19:46:26
snore-doc-0.3.1-r0.apk3.1 KiB2023-11-14 19:46:26
snowflake-2.9.2-r1.apk9.8 MiB2024-04-06 20:39:12
snowflake-doc-2.9.2-r1.apk2.9 KiB2024-04-06 20:39:12
so-0.4.9-r1.apk1.5 MiB2023-05-24 09:34:25
soapy-bladerf-0.4.1-r0.apk44.8 KiB2022-06-09 12:34:20
soapy-hackrf-0.3.4-r2.apk30.6 KiB2023-07-30 16:34:14
soapy-sdr-remote-0.5.2-r1.apk214.3 KiB2023-07-30 16:34:14
soapy-sdr-remote-doc-0.5.2-r1.apk2.4 KiB2023-07-30 16:34:14
soapy-sdr-remote-openrc-0.5.2-r1.apk1.7 KiB2023-07-30 16:34:14
soju-0.7.0-r2.apk8.9 MiB2024-04-06 20:39:13
soju-doc-0.7.0-r2.apk12.5 KiB2024-04-06 20:39:13
soju-openrc-0.7.0-r2.apk1.9 KiB2024-04-06 20:39:13
soju-utils-0.7.0-r2.apk10.2 MiB2024-04-06 20:39:14
solanum-3.0.1_git20220607-r1.apk443.5 KiB2023-02-13 14:30:10
solanum-lang-3.0.1_git20220607-r1.apk29.9 KiB2023-02-13 14:30:10
solarus-engine-1.7.0-r0.apk2.2 MiB2023-11-22 00:18:47
solarus-engine-doc-1.7.0-r0.apk3.4 KiB2023-11-22 00:18:47
solarus-quest-editor-1.7.0-r0.apk55.5 MiB2024-01-19 00:39:03
somebar-1.0.3-r0.apk49.6 KiB2023-06-04 17:59:43
somebar-doc-1.0.3-r0.apk2.4 KiB2023-06-04 17:59:43
sopwith-2.5.0-r0.apk48.2 KiB2024-05-05 20:23:50
sopwith-doc-2.5.0-r0.apk14.9 KiB2024-05-05 20:23:50
soqt-1.6.0-r0.apk248.1 KiB2021-11-21 00:17:27
soqt-dev-1.6.0-r0.apk98.0 KiB2021-11-21 00:17:27
soqt-doc-1.6.0-r0.apk1.5 KiB2021-11-21 00:17:27
sos-0.8-r23.apk2.5 MiB2024-04-06 20:39:14
soundfont-vintage-dreams-waves-2.1-r1.apk90.6 KiB2022-04-17 06:38:04
soundfont-vintage-dreams-waves-doc-2.1-r1.apk1.9 KiB2022-04-17 06:38:04
spacectl-0.30.0-r2.apk5.0 MiB2024-04-06 20:39:14
spacectl-bash-completion-0.30.0-r2.apk2.1 KiB2024-04-06 20:39:14
spacectl-doc-0.30.0-r2.apk2.3 KiB2024-04-06 20:39:14
spacectl-fish-completion-0.30.0-r2.apk6.0 KiB2024-04-06 20:39:14
spacectl-zsh-completion-0.30.0-r2.apk1.8 KiB2024-04-06 20:39:14
spark-2.8.3-r1.apk28.9 MiB2022-10-25 00:08:01
speedcrunch-0.12-r3.apk1.2 MiB2023-02-16 06:38:51
speedtest-5.2.5-r1.apk253.5 KiB2023-09-04 20:29:52
speedtest-doc-5.2.5-r1.apk18.4 KiB2023-09-04 20:29:52
speedtest-examples-5.2.5-r1.apk13.0 KiB2023-09-04 20:29:52
speedtest-go-1.1.5-r7.apk5.2 MiB2024-04-06 20:39:15
speedtest-go-doc-1.1.5-r7.apk4.5 KiB2024-04-06 20:39:15
speedtest-go-openrc-1.1.5-r7.apk1.7 KiB2024-04-06 20:39:15
speedtest_exporter-0.3.2-r7.apk3.6 MiB2024-04-06 20:39:15
speedtest_exporter-openrc-0.3.2-r7.apk1.9 KiB2024-04-06 20:39:15
spice-html5-0.3.0-r1.apk438.5 KiB2021-09-10 00:23:40
spike-1.1.0-r0.apk1.1 MiB2023-03-28 17:19:01
spin-6.5.2-r1.apk324.1 KiB2022-10-25 00:08:01
spin-doc-6.5.2-r1.apk5.9 KiB2022-10-25 00:08:01
spiritvnc-0.6.0-r0.apk47.1 KiB2023-12-18 01:36:16
spnavcfg-1.1-r0.apk38.6 KiB2023-02-13 06:50:03
spotify-player-0.18.1-r0.apk3.4 MiB2024-05-04 14:39:41
spotify-tui-0.25.0-r2.apk1.9 MiB2023-05-24 09:34:25
spread-sheet-widget-0.8-r0.apk54.1 KiB2021-11-13 22:25:50
spread-sheet-widget-dbg-0.8-r0.apk160.7 KiB2021-11-13 22:25:50
spread-sheet-widget-dev-0.8-r0.apk318.0 KiB2021-11-13 22:25:50
spread-sheet-widget-doc-0.8-r0.apk4.4 KiB2021-11-13 22:25:50
sqlar-0_git20180107-r1.apk13.2 KiB2022-10-25 00:08:01
sqlar-doc-0_git20180107-r1.apk3.3 KiB2022-10-25 00:08:01
sqliteodbc-0.99991-r0.apk88.1 KiB2023-12-23 01:53:18
sqlmap-1.8.4-r0.apk6.8 MiB2024-04-15 13:55:57
sqlmap-pyc-1.8.4-r0.apk1.2 MiB2024-04-15 13:55:57
sqm-scripts-1.6.0-r0.apk20.5 KiB2023-08-22 20:00:18
squashfs-tools-ng-1.3.1-r0.apk99.1 KiB2024-05-03 04:48:32
squashfs-tools-ng-dev-1.3.1-r0.apk87.5 KiB2024-05-03 04:48:32
squashfs-tools-ng-doc-1.3.1-r0.apk14.5 KiB2024-05-03 04:48:32
squashfs-tools-ng-libs-1.3.1-r0.apk41.0 KiB2024-05-03 04:48:32
srain-1.7.0-r0.apk173.1 KiB2024-05-01 06:29:39
srain-lang-1.7.0-r0.apk30.2 KiB2024-05-01 06:29:39
srb2-2.2.13-r0.apk1.7 MiB2023-11-22 10:02:48
srb2-data-2.2.13-r0.apk137.9 MiB2023-11-22 10:02:53
sregex-0.0.1-r1.apk22.6 KiB2022-10-25 00:08:01
sregex-dev-0.0.1-r1.apk27.2 KiB2022-10-25 00:08:01
ssdfs-tools-4.09-r0.apk97.2 KiB2023-03-10 11:47:37
ssdfs-tools-dev-4.09-r0.apk18.5 KiB2023-03-10 11:47:37
ssh-cert-authority-2.0.0-r18.apk4.7 MiB2024-04-06 20:39:15
ssh-honeypot-0.1.1-r1.apk8.5 KiB2023-05-16 12:37:30
ssh-honeypot-openrc-0.1.1-r1.apk2.1 KiB2023-05-16 12:37:30
ssh-tools-1.8-r0.apk25.6 KiB2024-03-16 21:30:34
sshsrv-1.0-r4.apk931.2 KiB2024-04-06 20:39:15
sshuttle-1.1.1-r2.apk52.1 KiB2024-04-16 00:00:30
sshuttle-pyc-1.1.1-r2.apk99.6 KiB2024-04-16 00:00:30
ssss-0.5.7-r0.apk12.9 KiB2021-10-29 06:50:29
ssss-doc-0.5.7-r0.apk3.3 KiB2021-10-29 06:50:29
stardict-3.0.6-r6.apk977.2 KiB2023-04-30 01:19:57
stardict-doc-3.0.6-r6.apk2.2 KiB2023-04-30 01:19:57
stardict-help-3.0.6-r6.apk3.4 MiB2023-04-30 01:19:57
stardict-lang-3.0.6-r6.apk289.5 KiB2023-04-30 01:19:57
starfighter-2.4-r0.apk47.9 MiB2023-08-08 20:37:29
starfighter-doc-2.4-r0.apk21.6 KiB2023-08-08 20:37:29
startup-2.0.3-r4.apk417.0 KiB2023-07-01 09:31:25
startup-bridge-dconf-2.0.3-r4.apk30.3 KiB2023-07-01 09:31:25
startup-bridge-udev-2.0.3-r4.apk30.1 KiB2023-07-01 09:31:25
startup-dev-2.0.3-r4.apk5.9 KiB2023-07-01 09:31:25
startup-doc-2.0.3-r4.apk47.6 KiB2023-07-01 09:31:25
startup-fish-completion-2.0.3-r4.apk5.4 KiB2023-07-01 09:31:25
startup-lang-2.0.3-r4.apk16.6 KiB2023-07-01 09:31:25
startup-tools-2.0.3-r4.apk13.3 KiB2023-07-01 09:31:25
stayrtr-0.5.1-r2.apk9.7 MiB2024-04-06 20:39:16
stayrtr-openrc-0.5.1-r2.apk2.0 KiB2024-04-06 20:39:16
steamguard-cli-0.9.6-r0.apk2.2 MiB2023-06-30 21:48:10
steamguard-cli-bash-completion-0.9.6-r0.apk2.5 KiB2023-06-30 21:48:10
steamguard-cli-zsh-completion-0.9.6-r0.apk3.6 KiB2023-06-30 21:48:10
steghide-0.5.1.1-r0.apk161.0 KiB2024-04-14 14:22:57
steghide-doc-0.5.1.1-r0.apk13.7 KiB2024-04-14 14:22:57
stern-1.29.0-r0.apk17.1 MiB2024-05-04 14:39:42
stern-bash-completion-1.29.0-r0.apk5.8 KiB2024-05-04 14:39:42
stern-fish-completion-1.29.0-r0.apk4.3 KiB2024-05-04 14:39:42
stern-zsh-completion-1.29.0-r0.apk4.0 KiB2024-05-04 14:39:42
stgit-1.3-r5.apk118.8 KiB2024-04-16 00:00:30
stgit-bash-completion-1.3-r5.apk4.7 KiB2024-04-16 00:00:30
stgit-doc-1.3-r5.apk50.9 KiB2024-04-16 00:00:30
stgit-emacs-1.3-r5.apk27.6 KiB2024-04-16 00:00:30
stgit-fish-completion-1.3-r5.apk7.9 KiB2024-04-16 00:00:30
stgit-pyc-1.3-r5.apk237.5 KiB2024-04-16 00:00:30
stgit-vim-1.3-r5.apk3.5 KiB2024-04-16 00:00:30
stgit-zsh-completion-1.3-r5.apk7.8 KiB2024-04-16 00:00:30
sthttpd-2.27.1-r2.apk62.1 KiB2022-10-25 00:08:01
sthttpd-doc-2.27.1-r2.apk18.2 KiB2022-10-25 00:08:01
sthttpd-openrc-2.27.1-r2.apk2.0 KiB2022-10-25 00:08:01
stockfish-16-r0.apk32.7 MiB2023-07-04 00:04:34
stone-soup-0.31.0-r0.apk27.7 MiB2024-04-07 22:37:36
straw-viewer-0.1.3-r2.apk232.2 KiB2023-07-04 00:04:34
straw-viewer-doc-0.1.3-r2.apk36.6 KiB2023-07-04 00:04:34
stubby-0.4.3-r0.apk23.5 KiB2023-02-22 17:33:57
stubby-doc-0.4.3-r0.apk13.1 KiB2023-02-22 17:33:57
stubby-openrc-0.4.3-r0.apk1.9 KiB2023-02-22 17:33:57
stubbyboot-1.0.2-r1.apk3.3 KiB2024-03-21 06:59:56
stubbyboot-efistub-1.0.2-r1.apk26.7 KiB2024-03-21 06:59:56
sturmreader-3.7.2-r0.apk1.1 MiB2023-10-22 08:36:25
sturmreader-lang-3.7.2-r0.apk39.5 KiB2023-10-22 08:36:25
stw-0.3-r0.apk8.0 KiB2023-03-06 14:44:02
stw-doc-0.3-r0.apk2.5 KiB2023-03-06 14:44:02
subdl-0_git20230616-r1.apk8.7 KiB2024-04-16 00:00:30
subdl-pyc-0_git20230616-r1.apk14.1 KiB2024-04-16 00:00:30
sublime-music-0.12.0-r1.apk189.5 KiB2024-04-17 03:15:16
sublime-music-pyc-0.12.0-r1.apk302.2 KiB2024-04-17 03:15:16
subliminal-2.1.0-r4.apk53.1 KiB2024-04-16 00:00:30
subliminal-pyc-2.1.0-r4.apk105.7 KiB2024-04-16 00:00:30
subtitleeditor-0.54.0-r3.apk1.5 MiB2022-10-25 00:08:01
subtitleeditor-dev-0.54.0-r3.apk1.5 KiB2022-10-25 00:08:01
subtitleeditor-doc-0.54.0-r3.apk2.9 KiB2022-10-25 00:08:01
sudo-ldap-1.9.14-r1.apk680.2 KiB2023-07-30 16:34:14
supercollider-3.13.0-r4.apk8.0 MiB2023-09-11 12:15:18
supercollider-dev-3.13.0-r4.apk40.7 KiB2023-09-11 12:15:18
supermin-5.2.2-r2.apk493.3 KiB2024-04-18 11:56:24
supermin-doc-5.2.2-r2.apk9.4 KiB2024-04-18 11:56:24
surf-2.1-r2.apk23.9 KiB2023-02-22 21:44:56
surf-doc-2.1-r2.apk4.7 KiB2023-02-22 21:44:56
surfraw-2.3.0-r0.apk78.7 KiB2023-08-01 20:41:18
surfraw-doc-2.3.0-r0.apk17.7 KiB2023-08-01 20:41:19
suru-icon-theme-20.05.1_git20221222-r0.apk3.0 MiB2023-12-17 22:57:38
svgbob-0.7.2-r0.apk440.5 KiB2023-09-09 13:29:01
svls-0.2.11-r0.apk3.5 MiB2024-01-03 19:05:31
svls-doc-0.2.11-r0.apk2.3 KiB2024-01-03 19:05:31
swaks-20240103.0-r0.apk66.1 KiB2024-01-08 08:06:40
swaks-doc-20240103.0-r0.apk49.6 KiB2024-01-08 08:06:40
swappy-1.5.1-r0.apk33.1 KiB2022-11-21 22:25:44
swappy-doc-1.5.1-r0.apk3.7 KiB2022-11-21 22:25:44
swappy-lang-1.5.1-r0.apk3.6 KiB2022-11-21 22:25:44
sway-audio-idle-inhibit-0.1.1_git20230809-r0.apk9.9 KiB2023-08-31 10:46:47
swayhide-0.2.1-r1.apk249.7 KiB2023-05-24 09:34:25
swi-prolog-9.2.4-r0.apk5.0 MiB2024-04-29 01:03:33
swi-prolog-doc-9.2.4-r0.apk2.1 MiB2024-04-29 01:03:33
swi-prolog-pyc-9.2.4-r0.apk22.5 KiB2024-04-29 01:03:33
swi-prolog-xpce-9.2.4-r0.apk942.4 KiB2024-04-29 01:03:34
swi-prolog-xpce-doc-9.2.4-r0.apk1.0 MiB2024-04-29 01:03:34
swig3-3.0.12-r3.apk1.2 MiB2024-04-22 19:33:06
swig3-doc-3.0.12-r3.apk3.6 KiB2024-04-22 19:33:06
sxcs-1.0.0-r0.apk8.4 KiB2024-02-18 01:32:04
sxcs-doc-1.0.0-r0.apk2.7 KiB2024-02-18 01:32:04
sylpheed-imap-notify-1.1.0-r0.apk8.8 KiB2020-07-29 06:01:16
symbiyosys-0.36-r0.apk37.6 KiB2023-12-18 21:28:10
synadm-0.46-r1.apk56.6 KiB2024-04-16 00:00:30
synadm-pyc-0.46-r1.apk66.6 KiB2024-04-16 00:00:30
synapse-bt-1.0-r4.apk1.1 MiB2023-05-24 09:34:25
synapse-bt-cli-1.0-r4.apk1013.4 KiB2023-05-24 09:34:25
synapse-bt-openrc-1.0-r4.apk1.8 KiB2023-05-24 09:34:25
syncthing-gtk-0.9.4.5-r1.apk424.7 KiB2024-04-16 00:00:30
syncthing-gtk-doc-0.9.4.5-r1.apk2.2 KiB2024-04-16 00:00:30
syncthing-gtk-pyc-0.9.4.5-r1.apk220.6 KiB2024-04-16 00:00:30
sysls-2-r1.apk6.0 KiB2024-04-16 00:00:30
t2sz-1.1.2-r0.apk9.5 KiB2023-04-13 21:28:12
tabby-3.1-r1.apk31.0 KiB2023-11-25 23:45:19
tabby-doc-3.1-r1.apk2.3 KiB2023-11-25 23:45:19
tachyon-0.99_beta6-r1.apk116.0 KiB2024-03-29 11:26:50
tachyon-scenes-0.99_beta6-r1.apk1.9 MiB2024-03-29 11:26:50
tailspin-3.0.0-r0.apk1.2 MiB2024-02-03 22:36:05
tailspin-bash-completion-3.0.0-r0.apk2.2 KiB2024-02-03 22:36:05
tailspin-doc-3.0.0-r0.apk2.7 KiB2024-02-03 22:36:05
tailspin-fish-completion-3.0.0-r0.apk2.1 KiB2024-02-03 22:36:05
tailspin-zsh-completion-3.0.0-r0.apk2.5 KiB2024-02-03 22:36:05
tang-14-r0.apk15.8 KiB2023-07-23 13:57:00
tang-dbg-14-r0.apk29.1 KiB2023-07-23 13:57:00
tang-doc-14-r0.apk20.6 KiB2023-07-23 13:57:00
tang-openrc-14-r0.apk2.0 KiB2023-07-23 13:57:00
tangctl-0_git20220412-r15.apk2.4 MiB2024-04-06 20:39:18
tangram-3.0-r1.apk33.6 KiB2023-04-30 01:19:57
tangram-lang-3.0-r1.apk36.5 KiB2023-04-30 01:19:57
tanidvr-1.4.1-r1.apk24.8 KiB2022-10-14 15:06:01
tanidvr-dhav2mkv-1.4.1-r1.apk12.3 KiB2022-10-14 15:06:01
tanka-0.26.0-r4.apk4.0 MiB2024-04-06 20:39:18
tartube-2.5.0-r0.apk1.4 MiB2024-01-11 22:42:51
tartube-pyc-2.5.0-r0.apk1.1 MiB2024-01-11 22:42:51
taskcafe-0.3.6-r5.apk13.7 MiB2024-04-06 20:39:20
taskcafe-openrc-0.3.6-r5.apk1.9 KiB2024-04-06 20:39:20
tauri-cli-1.4.0-r2.apk4.3 MiB2023-08-12 00:07:01
tayga-0.9.2-r0.apk24.8 KiB2023-01-23 07:26:25
tayga-doc-0.9.2-r0.apk5.6 KiB2023-01-23 07:26:25
tcc-0.9.27_git20240117-r0.apk10.9 KiB2024-01-26 06:27:01
tcc-dev-0.9.27_git20240117-r0.apk43.3 KiB2024-01-26 06:27:01
tcc-doc-0.9.27_git20240117-r0.apk50.1 KiB2024-01-26 06:27:01
tcc-libs-0.9.27_git20240117-r0.apk133.3 KiB2024-01-26 06:27:01
tcc-libs-static-0.9.27_git20240117-r0.apk9.1 KiB2024-01-26 06:27:01
tcl-curl-7.22.0-r0.apk32.2 KiB2023-01-18 19:33:35
tcl-curl-doc-7.22.0-r0.apk37.7 KiB2023-01-18 19:33:35
tdrop-0.5.0-r0.apk11.8 KiB2022-05-05 20:56:02
tdrop-doc-0.5.0-r0.apk8.9 KiB2022-05-05 20:56:02
tealdeer-1.6.1-r2.apk913.2 KiB2023-07-01 09:31:26
tealdeer-bash-completion-1.6.1-r2.apk2.0 KiB2023-07-01 09:31:26
tealdeer-fish-completion-1.6.1-r2.apk2.2 KiB2023-07-01 09:31:26
tealdeer-zsh-completion-1.6.1-r2.apk2.4 KiB2023-07-01 09:31:26
telegram-tdlib-1.8.9-r1.apk5.7 MiB2023-05-16 12:37:31
telegram-tdlib-dev-1.8.9-r1.apk120.8 KiB2023-05-16 12:37:31
telegram-tdlib-doc-1.8.9-r1.apk4.6 KiB2023-05-16 12:37:31
telegram-tdlib-static-1.8.9-r1.apk14.9 MiB2023-05-16 12:37:33
templ-0.2.663-r0.apk3.7 MiB2024-05-04 14:39:42
tere-1.5.1-r0.apk1012.2 KiB2023-08-26 16:39:34
tere-doc-1.5.1-r0.apk13.8 KiB2023-08-26 16:39:34
termbox-1.1.2-r1.apk11.3 KiB2023-05-16 12:37:33
termbox-dev-1.1.2-r1.apk5.7 KiB2023-05-16 12:37:33
termbox-static-1.1.2-r1.apk12.4 KiB2023-05-16 12:37:33
termcolor-2.1.0-r0.apk1.5 KiB2022-10-28 14:12:07
termcolor-dev-2.1.0-r0.apk6.8 KiB2022-10-28 14:12:07
termusic-mpv-0.7.11-r0.apk6.0 MiB2023-09-06 15:48:40
texlab-5.15.0-r0.apk8.6 MiB2024-04-26 15:35:58
theforceengine-1.09.540-r1.apk7.0 MiB2024-02-12 16:07:22
theforceengine-doc-1.09.540-r1.apk6.3 MiB2024-02-12 16:07:23
thefuck-3.32-r3.apk74.3 KiB2024-04-16 00:00:30
thefuck-pyc-3.32-r3.apk155.7 KiB2024-04-16 00:00:30
theme.sh-1.1.5-r0.apk39.1 KiB2023-09-05 09:47:40
theme.sh-doc-1.1.5-r0.apk2.3 KiB2023-09-05 09:47:40
thermald-2.5.6-r0.apk193.7 KiB2024-01-25 22:35:34
thermald-doc-2.5.6-r0.apk8.7 KiB2024-01-25 22:35:34
thermald-openrc-2.5.6-r0.apk1.8 KiB2024-01-25 22:35:34
thumbdrives-0.3.2-r2.apk10.9 KiB2024-05-03 22:53:12
thunar-gtkhash-plugin-1.5-r0.apk25.7 KiB2022-10-01 21:33:12
thunarx-python-0.5.2-r2.apk10.0 KiB2024-04-16 16:34:46
thunarx-python-doc-0.5.2-r2.apk25.2 KiB2024-04-16 16:34:46
tic-80-1.1.2837-r2.apk15.5 MiB2024-04-17 11:29:21
tick-1.1.0-r0.apk10.5 KiB2024-04-27 10:53:08
tick-doc-1.1.0-r0.apk5.5 KiB2024-04-27 10:53:08
ticker-4.5.14-r5.apk3.7 MiB2024-04-06 20:39:20
ticker-bash-completion-4.5.14-r5.apk4.6 KiB2024-04-06 20:39:20
ticker-fish-completion-4.5.14-r5.apk3.9 KiB2024-04-06 20:39:20
ticker-zsh-completion-4.5.14-r5.apk3.7 KiB2024-04-06 20:39:20
tillitis-key1-apps-0.0.6-r6.apk5.4 MiB2024-04-06 20:39:21
tillitis-key1-apps-doc-0.0.6-r6.apk12.3 KiB2024-04-06 20:39:21
time-1.9-r1.apk12.9 KiB2022-10-25 00:08:03
time-doc-1.9-r1.apk15.0 KiB2022-10-25 00:08:03
timeshift-24.01.1-r0.apk475.1 KiB2024-03-11 01:49:34
timeshift-doc-24.01.1-r0.apk3.2 KiB2024-03-11 01:49:34
timeshift-lang-24.01.1-r0.apk744.2 KiB2024-03-11 01:49:34
timew-1.4.3-r1.apk293.6 KiB2022-10-25 00:08:03
timew-bash-completion-1.4.3-r1.apk2.8 KiB2022-10-25 00:08:03
timew-doc-1.4.3-r1.apk53.2 KiB2022-10-25 00:08:03
timewarrior-1.7.1-r0.apk290.4 KiB2024-01-17 22:58:08
timewarrior-doc-1.7.1-r0.apk22.2 KiB2024-01-17 22:58:08
tintin-2.02.31-r0.apk1.8 MiB2023-08-26 11:00:37
tinycbor-0.6.0-r1.apk16.8 KiB2022-11-13 20:52:06
tinycbor-dev-0.6.0-r1.apk8.4 KiB2022-11-13 20:52:06
tinyscheme-1.42-r1.apk62.9 KiB2022-10-14 15:06:01
tiptop-2.3.1-r2.apk33.8 KiB2023-04-30 01:19:57
tiptop-doc-2.3.1-r2.apk7.1 KiB2023-04-30 01:19:57
tkts-2.0-r0.apk17.3 KiB2023-12-02 12:07:56
tldr-python-client-3.2.0-r1.apk10.9 KiB2024-04-16 00:00:30
tldr-python-client-doc-3.2.0-r1.apk3.5 KiB2024-04-16 00:00:30
tldr-python-client-pyc-3.2.0-r1.apk13.5 KiB2024-04-16 00:00:30
tmate-2.4.0-r4.apk296.5 KiB2023-03-05 02:23:10
tmate-doc-2.4.0-r4.apk71.7 KiB2023-03-05 02:23:10
tmpl-0.4.0-r3.apk2.5 MiB2024-04-06 20:39:21
tmpl-doc-0.4.0-r3.apk2.3 KiB2024-04-06 20:39:21
tmpmail-1.2.3-r2.apk7.0 KiB2023-11-01 10:18:48
tmpmail-doc-1.2.3-r2.apk3.2 KiB2023-11-01 10:18:48
tmux-resurrect-4.0.0-r0.apk13.9 KiB2022-09-21 08:36:50
tmux-resurrect-doc-4.0.0-r0.apk8.4 KiB2022-09-21 08:36:50
tncattach-0.1.9-r1.apk22.8 KiB2022-10-25 00:08:03
tncattach-doc-0.1.9-r1.apk3.9 KiB2022-10-25 00:08:03
tnef-1.4.18-r0.apk25.1 KiB2024-03-30 17:37:41
tnef-doc-1.4.18-r0.apk4.2 KiB2024-03-30 17:37:41
toapk-1.0-r0.apk10.8 KiB2023-12-02 12:03:56
today-6.1.4-r0.apk3.2 KiB2023-12-18 14:09:58
today-doc-6.1.4-r0.apk3.2 KiB2023-12-18 14:09:58
toml2json-1.3.1-r0.apk370.3 KiB2023-08-05 10:41:03
toml2json-doc-1.3.1-r0.apk3.3 KiB2023-08-05 10:41:03
tootik-0.10.4-r0.apk4.5 MiB2024-05-01 08:52:46
tootik-openrc-0.10.4-r0.apk2.9 KiB2024-05-01 08:52:46
topgit-0.19.13-r1.apk126.7 KiB2022-10-25 00:08:03
topgit-bash-completion-0.19.13-r1.apk4.0 KiB2022-10-25 00:08:03
topgit-doc-0.19.13-r1.apk73.4 KiB2022-10-25 00:08:03
torrent-file-editor-0.3.18-r0.apk376.0 KiB2023-06-27 21:52:26
toss-1.1-r0.apk11.2 KiB2022-02-25 14:17:31
toybox-0.8.11-r0.apk285.0 KiB2024-04-29 21:08:02
tpm2-pkcs11-1.9.0-r1.apk127.2 KiB2023-04-22 10:51:16
tpm2-pkcs11-dev-1.9.0-r1.apk1.9 KiB2023-04-22 10:51:16
tpm2-pkcs11-pyc-1.9.0-r1.apk74.9 KiB2023-04-22 10:51:16
tpm2-tools-5.6-r0.apk222.3 KiB2024-02-01 01:07:32
tpm2-tools-bash-completion-5.6-r0.apk15.1 KiB2024-02-01 01:07:32
tpm2-tools-doc-5.6-r0.apk498.3 KiB2024-02-01 01:07:33
transmission-remote-gtk-1.6.0-r0.apk151.3 KiB2023-06-20 16:08:14
transmission-remote-gtk-doc-1.6.0-r0.apk4.2 KiB2023-06-20 16:08:14
transmission-remote-gtk-lang-1.6.0-r0.apk106.0 KiB2023-06-20 16:08:14
trantor-1.5.18-r0.apk252.2 KiB2024-05-04 20:51:41
trantor-dev-1.5.18-r0.apk34.0 KiB2024-05-04 20:51:41
trantor-doc-1.5.18-r0.apk2.6 KiB2024-05-04 20:51:41
tre-0.8.0-r2.apk26.9 KiB2023-05-16 12:37:33
tre-dev-0.8.0-r2.apk5.2 KiB2023-05-16 12:37:33
tre-static-0.8.0-r2.apk28.1 KiB2023-05-16 12:37:33
tree-sitter-c-sharp-0.21.1-r0.apk333.5 KiB2024-05-04 11:01:28
tree-sitter-caddy-0_git20230322-r0.apk70.2 KiB2023-12-16 02:08:20
tree-sitter-caddy-doc-0_git20230322-r0.apk2.3 KiB2023-12-16 02:08:20
tree-sitter-clojure-0.0.12-r0.apk22.8 KiB2024-01-12 13:26:30
tree-sitter-dart-0_git20230123-r1.apk89.6 KiB2023-11-15 21:59:05
tree-sitter-elixir-0.2.0-r0.apk195.0 KiB2024-04-28 09:52:13
tree-sitter-git-commit-0_git20211225-r2.apk12.9 KiB2023-11-15 21:59:05
tree-sitter-git-diff-0_git20230730-r0.apk10.0 KiB2023-11-16 23:20:13
tree-sitter-git-rebase-0_git20220110-r2.apk7.0 KiB2023-11-15 21:59:05
tree-sitter-hare-0_git20230616-r1.apk32.8 KiB2023-11-15 21:59:05
tree-sitter-hcl-1.1.0-r1.apk42.0 KiB2023-11-15 21:59:05
tree-sitter-just-0_git20230318-r0.apk13.7 KiB2023-12-23 01:53:19
tree-sitter-kotlin-0.3.5-r0.apk334.0 KiB2024-03-15 06:19:16
tree-sitter-make-0_git20211216-r2.apk41.0 KiB2023-11-15 21:59:05
tree-sitter-markdown-0.2.3-r0.apk127.0 KiB2024-03-22 23:57:45
tree-sitter-markdown-doc-0.2.3-r0.apk2.3 KiB2024-03-22 23:57:45
tree-sitter-nix-0_git20230713-r0.apk22.0 KiB2023-11-19 02:32:04
tree-sitter-nix-doc-0_git20230713-r0.apk2.3 KiB2023-11-19 02:32:04
tree-sitter-ron-0_git20220508-r1.apk26.7 KiB2023-11-15 21:59:05
tree-sitter-scheme-0.6.0-r0.apk22.5 KiB2023-11-20 00:53:11
tree-sitter-scheme-doc-0.6.0-r0.apk2.3 KiB2023-11-20 00:53:11
tree-sitter-ssh-client-config-2024.5.2-r0.apk80.7 KiB2024-05-03 19:52:21
tree-sitter-xml-0.6.2-r0.apk31.9 KiB2024-03-25 19:57:33
tree-sitter-xml-doc-0.6.2-r0.apk2.3 KiB2024-03-25 19:57:33
tremc-0.9.3-r0.apk48.2 KiB2022-03-17 23:20:45
tremc-bash-completion-0.9.3-r0.apk1.7 KiB2022-03-17 23:20:45
tremc-doc-0.9.3-r0.apk2.6 KiB2022-03-17 23:20:45
tremc-zsh-completion-0.9.3-r0.apk1.6 KiB2022-03-17 23:20:45
trigger-rally-0.6.7-r2.apk316.8 KiB2024-01-02 08:51:17
trigger-rally-data-0.6.7-r2.apk352.1 MiB2024-01-02 08:51:29
trigger-rally-doc-0.6.7-r2.apk28.4 KiB2024-01-02 08:51:29
trippy-0.10.0-r0.apk1.8 MiB2024-04-05 22:47:31
trippy-bash-completion-0.10.0-r0.apk3.1 KiB2024-04-05 22:47:31
trippy-zsh-completion-0.10.0-r0.apk4.6 KiB2024-04-05 22:47:31
trivy-0.50.4-r0.apk75.1 MiB2024-04-26 13:13:23
trunk-0.20.0-r0.apk4.5 MiB2024-05-03 22:32:04
tsung-1.8.0-r2.apk730.2 KiB2023-12-19 08:04:51
ttdl-4.2.1-r0.apk886.3 KiB2024-03-11 01:49:34
ttdl-doc-4.2.1-r0.apk33.0 KiB2024-03-11 01:49:34
ttfautohint-1.8.4-r0.apk27.9 KiB2024-05-06 12:21:07
ttfautohint-dev-1.8.4-r0.apk153.5 KiB2024-05-06 12:21:07
ttfautohint-doc-1.8.4-r0.apk8.1 KiB2024-05-06 12:21:07
ttfautohint-gui-1.8.4-r0.apk60.8 KiB2024-05-06 12:21:07
ttfautohint-libs-1.8.4-r0.apk103.0 KiB2024-05-06 12:21:07
tty-clock-2.3_git20240104-r0.apk8.4 KiB2024-01-19 03:20:02
tty-clock-doc-2.3_git20240104-r0.apk3.1 KiB2024-01-19 03:20:02
tty-proxy-0.0.2-r20.apk2.3 MiB2024-04-06 20:39:28
tty-share-2.4.0-r10.apk3.4 MiB2024-04-06 20:39:29
ttyper-1.4.1-r0.apk570.6 KiB2024-02-03 09:44:26
tui-journal-0.8.0-r0.apk1.9 MiB2024-02-10 02:52:45
tui-journal-doc-0.8.0-r0.apk6.6 KiB2024-02-10 02:52:45
tup-0.7.11-r0.apk271.1 KiB2023-03-06 13:11:19
tup-doc-0.7.11-r0.apk20.9 KiB2023-03-06 13:11:19
tup-vim-0.7.11-r0.apk2.6 KiB2023-03-06 13:11:19
tuptime-5.2.2-r3.apk13.6 KiB2023-06-25 11:02:41
tuptime-doc-5.2.2-r3.apk3.8 KiB2023-06-25 11:02:41
tuptime-openrc-5.2.2-r3.apk1.8 KiB2023-06-25 11:02:41
turn-rs-2.1.3-r0.apk1.1 MiB2024-04-18 14:04:31
turn-rs-balance-2.1.3-r0.apk508.5 KiB2024-04-18 14:04:31
turn-rs-cli-2.1.3-r0.apk878.7 KiB2024-04-18 14:04:31
turn-rs-doc-2.1.3-r0.apk13.5 KiB2024-04-18 14:04:31
turn-rs-openrc-2.1.3-r0.apk2.0 KiB2024-04-18 14:04:31
turnstile-0.1.8-r0.apk35.3 KiB2023-09-03 04:03:13
turnstile-doc-0.1.8-r0.apk5.8 KiB2023-09-03 04:03:13
turnstile-openrc-0.1.8-r0.apk1.8 KiB2023-09-03 04:03:13
twemproxy-0.5.0-r0.apk70.7 KiB2022-04-30 08:20:31
twemproxy-doc-0.5.0-r0.apk17.2 KiB2022-04-30 08:20:31
twiggy-0.6.0-r3.apk792.3 KiB2023-05-24 09:34:26
twinkle-1.10.3-r2.apk2.5 MiB2023-04-30 01:19:57
twinkle-doc-1.10.3-r2.apk3.6 KiB2023-04-30 01:19:57
typescript-5.4.4-r0.apk5.6 MiB2024-04-08 05:56:37
typstfmt-0.2.7-r0.apk760.9 KiB2024-01-25 00:38:54
typstfmt-doc-0.2.7-r0.apk2.3 KiB2024-01-25 00:38:54
u1db-qt-0.1.7-r0.apk104.2 KiB2023-12-17 22:57:38
uasm-2.56.2-r0.apk273.0 KiB2023-10-22 22:17:59
ubase-20200605-r2.apk51.0 KiB2022-10-25 00:08:03
ubase-doc-20200605-r2.apk21.3 KiB2022-10-25 00:08:03
ubuntu-archive-keyring-2023.11.28.1-r0.apk16.2 KiB2023-11-29 08:24:26
ubuntu-archive-keyring-doc-2023.11.28.1-r0.apk2.3 KiB2023-11-29 08:24:26
uclient-20210514-r0.apk13.5 KiB2022-06-09 01:00:33
uclient-dev-20210514-r0.apk3.2 KiB2022-06-09 01:00:33
uclient-fetch-20210514-r0.apk10.2 KiB2022-06-09 01:00:33
ucspi-tcp-0.88-r2.apk105.3 KiB2022-10-25 00:08:03
udpcast-20230924-r1.apk43.5 KiB2024-04-16 11:25:07
udpcast-dev-20230924-r1.apk2.5 KiB2024-04-16 11:25:07
udpcast-doc-20230924-r1.apk12.2 KiB2024-04-16 11:25:07
udpt-3.1.2-r0.apk715.8 KiB2023-05-26 21:47:06
udpt-openrc-3.1.2-r0.apk1.8 KiB2023-05-26 21:47:06
ueberzug-18.2.2-r1.apk62.5 KiB2024-04-16 00:00:30
ueberzug-pyc-18.2.2-r1.apk64.2 KiB2024-04-16 00:00:30
uefitool-0.28.0-r1.apk423.6 KiB2022-10-25 00:08:03
undock-0.7.0-r2.apk9.7 MiB2024-04-06 20:39:29
unicorn-2.0.1-r4.apk8.5 MiB2024-04-19 15:25:28
unicorn-dev-2.0.1-r4.apk10.0 MiB2024-04-19 15:25:29
unit-php81-1.32.1-r0.apk30.8 KiB2024-04-12 00:01:50
up-0.4-r20.apk1.1 MiB2024-04-06 20:39:30
upterm-0.13.5-r0.apk5.8 MiB2024-05-06 21:35:41
upterm-bash-completion-0.13.5-r0.apk5.5 KiB2024-05-06 21:35:41
upterm-doc-0.13.5-r0.apk6.5 KiB2024-05-06 21:35:41
upterm-server-0.13.5-r0.apk5.1 MiB2024-05-06 21:35:41
upterm-server-openrc-0.13.5-r0.apk1.9 KiB2024-05-06 21:35:41
upterm-zsh-completion-0.13.5-r0.apk4.0 KiB2024-05-06 21:35:41
uranium-5.2.2-r3.apk595.5 KiB2024-04-16 00:00:31
urlwatch-2.28-r1.apk47.8 KiB2024-04-17 03:15:16
urlwatch-doc-2.28-r1.apk33.2 KiB2024-04-17 03:15:16
urlwatch-pyc-2.28-r1.apk101.4 KiB2024-04-17 03:15:16
usbguard-1.1.2-r8.apk105.3 KiB2024-01-03 19:40:48
usbguard-bash-completion-1.1.2-r8.apk4.6 KiB2024-01-03 19:40:48
usbguard-dev-1.1.2-r8.apk31.1 KiB2024-01-03 19:40:48
usbguard-doc-1.1.2-r8.apk14.7 KiB2024-01-03 19:40:48
usbguard-notifier-0.1.0-r0.apk32.9 KiB2023-02-09 01:01:30
usbguard-notifier-doc-0.1.0-r0.apk4.9 KiB2023-02-09 01:01:30
usbguard-openrc-1.1.2-r8.apk1.8 KiB2024-01-03 19:40:48
usbguard-zsh-completion-1.1.2-r8.apk3.1 KiB2024-01-03 19:40:48
usbmuxd-1.1.1-r6.apk35.2 KiB2024-02-05 19:32:00
usbmuxd-doc-1.1.1-r6.apk3.0 KiB2024-02-05 19:32:00
ustr-1.0.4-r1.apk53.9 KiB2023-05-16 12:37:33
ustr-debug-1.0.4-r1.apk76.8 KiB2023-05-16 12:37:33
ustr-dev-1.0.4-r1.apk91.4 KiB2023-05-16 12:37:33
ustr-doc-1.0.4-r1.apk96.6 KiB2023-05-16 12:37:33
ustr-static-1.0.4-r1.apk147.0 KiB2023-05-16 12:37:33
ustream-ssl-20220116-r1.apk7.7 KiB2022-08-04 14:27:35
ustream-ssl-dev-20220116-r1.apk2.6 KiB2022-08-04 14:27:35
utop-2.9.1-r4.apk13.3 MiB2024-04-04 10:21:06
utop-common-2.9.1-r4.apk2.0 KiB2024-04-04 10:21:06
utop-doc-2.9.1-r4.apk5.7 KiB2024-04-04 10:21:06
utop-emacs-2.9.1-r4.apk12.8 KiB2024-04-04 10:21:06
utop-full-2.9.1-r4.apk13.3 MiB2024-04-04 10:21:07
uucp-1.07-r5.apk479.5 KiB2023-06-19 18:40:05
uucp-doc-1.07-r5.apk118.1 KiB2023-06-19 18:40:05
uxn-1.0-r0.apk42.5 KiB2024-03-23 15:22:22
uxn-doc-1.0-r0.apk4.2 KiB2024-03-23 15:22:22
vale-3.4.2-r0.apk8.6 MiB2024-05-01 12:52:40
vale-doc-3.4.2-r0.apk2.3 KiB2024-05-01 12:52:40
vals-0.35.0-r2.apk20.6 MiB2024-04-06 20:39:33
varnish-modules-0.23.0-r1.apk38.6 KiB2023-12-12 06:43:08
varnish-modules-doc-0.23.0-r1.apk21.4 KiB2023-12-12 06:43:08
vbindiff-3.0_beta5-r1.apk21.6 KiB2022-10-25 00:08:03
vbindiff-doc-3.0_beta5-r1.apk5.5 KiB2022-10-25 00:08:03
vcdimager-2.0.1-r3.apk479.4 KiB2023-04-30 01:19:57
vcdimager-dev-2.0.1-r3.apk120.2 KiB2023-04-30 01:19:57
vcdimager-doc-2.0.1-r3.apk74.6 KiB2023-04-30 01:19:57
vcsh-2.0.5-r0.apk8.8 KiB2023-06-08 21:52:28
vcsh-bash-completion-2.0.5-r0.apk2.9 KiB2023-06-08 21:52:28
vcsh-doc-2.0.5-r0.apk27.2 KiB2023-06-08 21:52:28
vcsh-zsh-completion-2.0.5-r0.apk2.9 KiB2023-06-08 21:52:28
vcstool-0.3.0-r5.apk34.8 KiB2024-04-16 00:00:31
vcstool-bash-completion-0.3.0-r5.apk1.8 KiB2024-04-16 00:00:31
vcstool-pyc-0.3.0-r5.apk57.7 KiB2024-04-16 00:00:31
vcstool-tcsh-completion-0.3.0-r5.apk1.6 KiB2024-04-16 00:00:31
vcstool-zsh-completion-0.3.0-r5.apk1.7 KiB2024-04-16 00:00:31
vdr-plugin-dvbapi-2.2.5-r0.apk62.3 KiB2020-07-29 06:01:18
vdr-plugin-dvbapi-lang-2.2.5-r0.apk2.5 KiB2020-07-29 06:01:18
vectoroids-1.1.0-r1.apk282.0 KiB2022-01-05 22:07:01
vectoroids-doc-1.1.0-r1.apk2.1 KiB2022-01-05 22:07:01
venc-3.1.1-r1.apk157.9 KiB2024-04-16 00:00:31
venc-pyc-3.1.1-r1.apk114.8 KiB2024-04-16 00:00:31
vera++-1.3.0-r10.apk261.0 KiB2024-04-22 19:33:06
vfd-configurations-0_git20230612-r0.apk24.8 KiB2023-06-12 22:33:24
vice-3.8-r0.apk12.6 MiB2024-01-11 22:56:18
vice-doc-3.8-r0.apk2.2 MiB2024-01-11 22:56:18
vidcutter-6.0.5.1-r5.apk2.8 MiB2023-04-22 10:51:16
vidcutter-doc-6.0.5.1-r5.apk24.0 KiB2023-04-22 10:51:16
vidcutter-pyc-6.0.5.1-r5.apk1.9 MiB2023-04-22 10:51:16
video-trimmer-0.8.2-r0.apk765.2 KiB2023-10-09 14:56:45
video-trimmer-lang-0.8.2-r0.apk83.6 KiB2023-10-09 14:56:45
viewnior-1.8-r1.apk75.1 KiB2023-06-15 08:48:41
viewnior-doc-1.8-r1.apk2.1 KiB2023-06-15 08:48:41
viewnior-lang-1.8-r1.apk85.2 KiB2023-06-15 08:48:41
vile-9.8z-r0.apk2.1 MiB2024-01-26 03:29:59
vile-doc-9.8z-r0.apk15.0 KiB2024-01-26 03:29:59
vim-airline-0.11-r0.apk86.4 KiB2021-05-04 10:16:42
vim-airline-doc-0.11-r0.apk12.1 KiB2021-05-04 10:16:42
vim-rust-305-r0.apk20.1 KiB2020-07-22 16:35:34
virtctl-1.2.0-r0.apk13.6 MiB2024-05-04 11:32:22
virtctl-bash-completion-1.2.0-r0.apk5.0 KiB2024-05-04 11:32:22
virtctl-fish-completion-1.2.0-r0.apk3.9 KiB2024-05-04 11:32:22
virtctl-zsh-completion-1.2.0-r0.apk4.0 KiB2024-05-04 11:32:22
virter-0.27.0-r0.apk5.4 MiB2024-05-03 22:34:11
virter-bash-completion-0.27.0-r0.apk5.1 KiB2024-05-03 22:34:11
virter-doc-0.27.0-r0.apk15.4 KiB2024-05-03 22:34:11
virter-fish-completion-0.27.0-r0.apk4.3 KiB2024-05-03 22:34:11
virter-zsh-completion-0.27.0-r0.apk4.0 KiB2024-05-03 22:34:11
virtme-ng-1.23-r1.apk164.8 KiB2024-04-16 00:00:31
virtme-ng-bash-completion-1.23-r1.apk2.7 KiB2024-04-16 00:00:31
visidata-2.11.1-r2.apk248.4 KiB2024-04-16 00:00:31
visidata-doc-2.11.1-r2.apk15.9 KiB2024-04-16 00:00:31
visidata-pyc-2.11.1-r2.apk497.4 KiB2024-04-16 00:00:31
visidata-zsh-completion-2.11.1-r2.apk7.2 KiB2024-04-16 00:00:31
visurf-0.0.0_git20220301-r1.apk1.7 MiB2022-08-04 09:45:21
vit-2.3.2-r1.apk80.5 KiB2024-04-16 00:00:31
vit-pyc-2.3.2-r1.apk151.5 KiB2024-04-16 00:00:31
vivid-0.9.0-r1.apk311.5 KiB2023-05-24 09:34:26
vkbasalt-0.3.2.10-r0.apk408.2 KiB2024-01-18 23:41:14
vkbasalt-doc-0.3.2.10-r0.apk3.1 KiB2024-01-18 23:41:14
vmtouch-1.3.1-r0.apk13.9 KiB2023-03-28 12:18:24
vmtouch-doc-1.3.1-r0.apk8.0 KiB2023-03-28 12:18:24
voikko-fi-2.5-r0.apk1.6 MiB2023-06-13 17:28:18
volatility3-2.5.2-r1.apk447.7 KiB2024-04-16 00:00:31
volatility3-doc-2.5.2-r1.apk3.2 KiB2024-04-16 00:00:31
volatility3-pyc-2.5.2-r1.apk820.8 KiB2024-04-16 00:00:31
volumeicon-0.5.1-r1.apk44.7 KiB2022-10-25 00:08:03
volumeicon-lang-0.5.1-r1.apk3.8 KiB2022-10-25 00:08:03
vym-2.9.26-r0.apk2.9 MiB2023-12-23 01:53:23
vym-doc-2.9.26-r0.apk3.4 MiB2023-12-23 01:53:23
w_scan2-1.0.15-r0.apk128.1 KiB2024-01-04 08:04:35
w_scan2-doc-1.0.15-r0.apk4.1 KiB2024-01-04 08:04:35
wabt-1.0.34-r0.apk5.3 MiB2023-10-30 23:14:02
wabt-doc-1.0.34-r0.apk13.7 KiB2023-10-30 23:14:02
waifu2x-converter-cpp-5.3.4-r5.apk11.6 MiB2024-02-22 00:16:02
warpinator-1.8.3-r0.apk202.4 KiB2024-02-10 08:40:40
warpinator-lang-1.8.3-r0.apk174.4 KiB2024-02-10 08:40:40
warpinator-nemo-1.8.3-r0.apk3.7 KiB2024-02-10 08:40:40
watchbind-0.2.1-r0.apk1.1 MiB2024-01-22 11:36:34
watchbind-doc-0.2.1-r0.apk6.6 KiB2024-01-22 11:36:34
watchdog-5.16-r1.apk46.1 KiB2023-03-10 21:21:11
watchdog-doc-5.16-r1.apk14.2 KiB2023-03-10 21:21:11
watchmate-0.5.1-r1.apk2.3 MiB2023-12-19 16:09:33
watershot-0.2.0-r0.apk1.7 MiB2023-07-04 00:04:34
way-displays-1.8.1-r2.apk82.1 KiB2023-09-11 12:15:18
way-displays-doc-1.8.1-r2.apk4.1 KiB2023-09-11 12:15:18
wayfire-0.8.1-r0.apk2.5 MiB2024-03-15 06:19:18
wayfire-dev-0.8.1-r0.apk129.3 KiB2024-03-15 06:19:18
wayfire-doc-0.8.1-r0.apk2.8 KiB2024-03-15 06:19:18
wayfire-plugins-extra-0.8.1-r0.apk602.2 KiB2024-03-15 23:02:14
waylevel-1.0.0-r1.apk306.4 KiB2023-05-24 09:34:26
waynergy-0.0.16-r0.apk45.6 KiB2023-05-28 21:21:32
wayqt-0.2.0-r0.apk106.1 KiB2023-12-30 13:29:25
wayqt-dev-0.2.0-r0.apk17.8 KiB2023-12-30 13:29:25
wbg-1.1.0-r0.apk13.5 KiB2022-10-25 16:51:14
wch-isp-0.4.1-r1.apk10.4 KiB2024-01-19 21:16:02
wch-isp-doc-0.4.1-r1.apk2.7 KiB2024-01-19 21:16:02
wch-isp-udev-rules-0.4.1-r1.apk1.6 KiB2024-01-19 21:16:02
wcm-0.8.0-r0.apk366.2 KiB2023-11-25 23:45:20
weggli-0.2.4-r1.apk823.9 KiB2023-05-24 09:34:26
welle-cli-2.4-r5.apk292.9 KiB2024-04-24 20:46:51
welle-io-2.4-r5.apk460.4 KiB2024-04-24 20:46:51
welle-io-doc-2.4-r5.apk4.0 KiB2024-04-24 20:46:51
wf-config-0.8.0-r0.apk111.1 KiB2023-11-25 23:45:20
wf-config-dev-0.8.0-r0.apk16.5 KiB2023-11-25 23:45:20
wf-shell-0.8.1-r0.apk6.1 MiB2024-03-15 06:19:18
wf-shell-dev-0.8.1-r0.apk1.7 KiB2024-03-15 06:19:18
wf-shell-doc-0.8.1-r0.apk2.2 KiB2024-03-15 06:19:18
wgcf-2.2.22-r0.apk3.8 MiB2024-05-04 11:22:52
wgcf-bash-completion-2.2.22-r0.apk5.1 KiB2024-05-04 11:22:52
wgcf-fish-completion-2.2.22-r0.apk4.3 KiB2024-05-04 11:22:52
wgcf-zsh-completion-2.2.22-r0.apk4.0 KiB2024-05-04 11:22:52
wget2-2.1.0-r0.apk115.1 KiB2023-09-04 20:29:52
wget2-dbg-2.1.0-r0.apk795.0 KiB2023-09-04 20:29:52
wget2-dev-2.1.0-r0.apk1.1 MiB2023-09-04 20:29:52
wget2-doc-2.1.0-r0.apk70.5 KiB2023-09-04 20:29:52
wget2-libs-2.1.0-r0.apk221.0 KiB2023-09-04 20:29:52
wiimms-iso-tools-3.04a-r1.apk3.7 MiB2022-08-04 09:45:50
wiimms-iso-tools-doc-3.04a-r1.apk65.2 KiB2022-08-04 09:45:50
wiimms-szs-tools-2.26a-r0.apk4.9 MiB2022-02-13 10:30:13
wiimms-szs-tools-doc-2.26a-r0.apk49.6 KiB2022-02-13 10:30:13
wiki-tui-0.8.2-r0.apk1.5 MiB2023-08-12 05:59:09
wiki-tui-doc-0.8.2-r0.apk4.6 KiB2023-08-12 05:59:09
wine-mono-8.1.0-r1.apk79.9 MiB2023-10-31 11:19:25
wine-staging-9.7-r0.apk63.1 MiB2024-04-21 14:44:33
wine-staging-dev-9.7-r0.apk9.6 MiB2024-04-21 14:44:34
wine-staging-doc-9.7-r0.apk43.1 KiB2024-04-21 14:44:34
winetricks-20230212-r1.apk193.9 KiB2023-10-31 11:19:25
winetricks-bash-completion-20230212-r1.apk8.7 KiB2023-10-31 11:19:25
winetricks-doc-20230212-r1.apk3.6 KiB2023-10-31 11:19:25
wireguard-go-0.0.20230223-r4.apk1.1 MiB2024-04-06 20:39:40
wireguard-go-doc-0.0.20230223-r4.apk3.6 KiB2024-04-06 20:39:40
wiremapper-0.10.0-r0.apk21.6 KiB2022-04-26 20:40:23
witchery-0.0.3-r2.apk3.2 KiB2022-07-26 06:19:18
wk-adblock-0.0.4-r5.apk172.5 KiB2023-05-24 09:34:26
wk-adblock-doc-0.0.4-r5.apk2.1 KiB2023-05-24 09:34:26
wl-clipboard-x11-5-r3.apk3.4 KiB2022-10-25 00:08:03
wl-clipboard-x11-doc-5-r3.apk2.9 KiB2022-10-25 00:08:03
wl-gammarelay-0.1.1-r6.apk1.5 MiB2024-04-06 20:39:41
wlavu-0_git20201101-r1.apk12.0 KiB2022-10-25 00:08:03
wlclock-1.0.1-r0.apk17.4 KiB2021-12-31 00:26:54
wlclock-doc-1.0.1-r0.apk3.3 KiB2021-12-31 00:26:54
wlopm-0.1.0-r0.apk6.7 KiB2022-06-28 15:08:24
wlopm-doc-0.1.0-r0.apk2.0 KiB2022-06-28 15:08:24
wlrobs-1.0-r4.apk18.7 KiB2023-01-08 04:42:55
wlroots0.12-0.12.0-r1.apk274.6 KiB2022-09-02 18:54:47
wlroots0.12-dbg-0.12.0-r1.apk862.3 KiB2022-09-02 18:54:47
wlroots0.12-dev-0.12.0-r1.apk60.7 KiB2022-09-02 18:54:47
wlroots0.15-0.15.1-r6.apk296.2 KiB2023-07-03 16:46:50
wlroots0.15-dbg-0.15.1-r6.apk1.1 MiB2023-07-03 16:46:50
wlroots0.15-dev-0.15.1-r6.apk70.4 KiB2023-07-03 16:46:50
wlvncc-0.0.0_git20230105-r0.apk71.9 KiB2023-02-28 02:32:57
wmctrl-1.07-r1.apk14.0 KiB2022-02-18 14:44:37
wmctrl-doc-1.07-r1.apk5.1 KiB2022-02-18 14:44:37
wmi-client-1.3.16-r4.apk2.8 MiB2022-10-25 00:08:03
wmutils-1.7-r1.apk24.5 KiB2023-10-14 21:15:49
wmutils-doc-1.7-r1.apk8.6 KiB2023-10-14 21:15:49
wok-3.0.0-r6.apk156.6 KiB2024-04-16 00:00:31
wok-doc-3.0.0-r6.apk3.7 KiB2024-04-16 00:00:31
wok-lang-3.0.0-r6.apk16.0 KiB2024-04-16 00:00:31
wok-pyc-3.0.0-r6.apk118.7 KiB2024-04-16 00:00:31
wol-0.7.1-r2.apk24.9 KiB2023-08-17 22:34:09
wol-doc-0.7.1-r2.apk5.5 KiB2023-08-17 22:34:09
wol-lang-0.7.1-r2.apk8.2 KiB2023-08-17 22:34:09
wolfssh-1.4.17-r0.apk139.4 KiB2024-04-01 23:28:13
wolfssh-dev-1.4.17-r0.apk173.2 KiB2024-04-01 23:28:13
woodpecker-2.3.0-r3.apk47.3 MiB2024-04-07 09:11:18
woodpecker-doc-2.3.0-r3.apk5.5 KiB2024-04-07 09:11:18
woodpecker-openrc-2.3.0-r3.apk2.0 KiB2024-04-07 09:11:18
wordgrinder-0.8-r1.apk493.8 KiB2022-10-25 00:08:03
wordgrinder-doc-0.8-r1.apk18.4 KiB2022-10-25 00:08:03
wpa_actiond-1.4-r7.apk10.7 KiB2022-10-25 00:08:03
wpa_actiond-openrc-1.4-r7.apk2.2 KiB2022-10-25 00:08:03
wpaperd-0.3.0-r2.apk999.6 KiB2023-07-01 09:31:28
wpaperd-bash-completion-0.3.0-r2.apk2.0 KiB2023-07-01 09:31:28
wpaperd-doc-0.3.0-r2.apk3.1 KiB2023-07-01 09:31:28
wpaperd-fish-completion-0.3.0-r2.apk1.8 KiB2023-07-01 09:31:28
wpaperd-zsh-completion-0.3.0-r2.apk2.0 KiB2023-07-01 09:31:28
wput-0.6.2-r4.apk45.0 KiB2022-10-14 15:06:02
wput-doc-0.6.2-r4.apk8.2 KiB2022-10-14 15:06:02
wroomd-0.1.0-r0.apk1008.8 KiB2023-10-06 05:48:43
wroomd-openrc-0.1.0-r0.apk1.7 KiB2023-10-06 05:48:43
wshowkeys-1.0-r0.apk14.1 KiB2022-02-20 16:32:19
wsmancli-2.6.2-r0.apk17.6 KiB2023-01-12 15:56:45
wsmancli-doc-2.6.2-r0.apk3.7 KiB2023-01-12 15:56:45
wtfutil-0.43.0-r5.apk20.1 MiB2024-04-06 20:39:46
x11-calc-0.14.0147-r0.apk630.7 KiB2024-04-16 11:25:43
x11docker-7.6.0-r1.apk113.4 KiB2023-12-19 15:38:30
x11docker-doc-7.6.0-r1.apk9.4 KiB2023-12-19 15:38:30
xa-2.3.14-r0.apk52.2 KiB2023-03-18 15:07:26
xa-doc-2.3.14-r0.apk15.3 KiB2023-03-18 15:07:26
xandikos-0.2.11-r1.apk92.4 KiB2024-04-16 00:00:31
xandikos-doc-0.2.11-r1.apk2.3 KiB2024-04-16 00:00:31
xandikos-openrc-0.2.11-r1.apk2.2 KiB2024-04-16 00:00:31
xandikos-pyc-0.2.11-r1.apk188.3 KiB2024-04-16 00:00:31
xboard-4.9.1-r2.apk760.1 KiB2023-08-01 13:01:21
xboard-doc-4.9.1-r2.apk170.5 KiB2023-08-01 13:01:21
xboard-lang-4.9.1-r2.apk226.2 KiB2023-08-01 13:01:21
xcaddy-0.3.5-r4.apk1.4 MiB2024-04-06 20:39:46
xcape-1.2-r0.apk7.3 KiB2021-11-29 21:04:24
xcape-doc-1.2-r0.apk2.8 KiB2021-11-29 21:04:24
xcompmgr-1.1.9-r0.apk15.9 KiB2022-11-12 20:48:22
xcompmgr-doc-1.1.9-r0.apk2.6 KiB2022-11-12 20:48:22
xcur2png-0.7.1-r0.apk8.8 KiB2024-04-23 08:02:59
xcur2png-doc-0.7.1-r0.apk2.9 KiB2024-04-23 08:02:59
xdg-ninja-0.2.0.2-r0.apk70.5 KiB2024-02-05 06:11:02
xdg-user-dirs-gtk-0.11-r2.apk14.8 KiB2023-10-31 11:19:25
xdg-user-dirs-gtk-lang-0.11-r2.apk49.9 KiB2023-10-31 11:19:25
xed-3.4.5-r0.apk1.1 MiB2024-01-07 23:16:47
xed-dev-3.4.5-r0.apk14.3 KiB2024-01-07 23:16:47
xed-doc-3.4.5-r0.apk973.6 KiB2024-01-07 23:16:47
xed-lang-3.4.5-r0.apk2.0 MiB2024-01-07 23:16:47
xed-python-3.4.5-r0.apk24.5 KiB2024-01-07 23:16:47
xendmail-0.4.3-r0.apk981.8 KiB2024-03-26 11:54:13
xendmail-doc-0.4.3-r0.apk2.5 KiB2024-03-26 11:54:13
xf86-video-vboxvideo-1.0.0-r1.apk17.0 KiB2023-06-03 19:22:08
xf86-video-vboxvideo-doc-1.0.0-r1.apk3.2 KiB2023-06-03 19:22:08
xfce4-calculator-plugin-0.7.2-r0.apk64.1 KiB2023-04-19 17:47:38
xfce4-calculator-plugin-lang-0.7.2-r0.apk22.1 KiB2023-04-19 17:47:38
xfce4-diskperf-plugin-2.7.0-r0.apk17.3 KiB2023-08-03 05:00:05
xfce4-diskperf-plugin-lang-2.7.0-r0.apk50.4 KiB2023-08-03 05:00:05
xfce4-docklike-plugin-0.4.2-r0.apk78.1 KiB2023-12-26 23:10:11
xfce4-docklike-plugin-lang-0.4.2-r0.apk36.1 KiB2023-12-26 23:10:11
xfce4-fsguard-plugin-1.1.3-r0.apk52.8 KiB2023-08-03 07:35:23
xfce4-fsguard-plugin-lang-1.1.3-r0.apk30.6 KiB2023-08-03 07:35:23
xfce4-hamster-plugin-1.17-r0.apk39.2 KiB2022-02-22 08:20:20
xfce4-hamster-plugin-lang-1.17-r0.apk5.1 KiB2022-02-22 08:20:20
xfce4-mailwatch-plugin-1.3.1-r1.apk56.8 KiB2023-10-31 11:19:26
xfce4-mailwatch-plugin-lang-1.3.1-r1.apk149.3 KiB2023-10-31 11:19:26
xfce4-mixer-4.18.1-r2.apk89.8 KiB2023-10-31 11:19:26
xfce4-mixer-doc-4.18.1-r2.apk2.5 KiB2023-10-31 11:19:26
xfce4-mixer-lang-4.18.1-r2.apk58.8 KiB2023-10-31 11:19:26
xfce4-mpc-plugin-0.5.3-r0.apk20.4 KiB2023-08-02 08:14:37
xfce4-mpc-plugin-lang-0.5.3-r0.apk37.9 KiB2023-08-02 08:14:37
xfce4-netload-plugin-1.4.1-r0.apk26.4 KiB2023-08-04 15:52:33
xfce4-netload-plugin-lang-1.4.1-r0.apk44.5 KiB2023-08-04 15:52:33
xfce4-panel-profiles-1.0.14-r1.apk56.5 KiB2023-12-11 08:35:59
xfce4-panel-profiles-doc-1.0.14-r1.apk19.4 KiB2023-12-11 08:35:59
xfce4-panel-profiles-lang-1.0.14-r1.apk44.3 KiB2023-12-11 08:35:59
xfce4-places-plugin-1.8.3-r0.apk32.8 KiB2022-12-16 10:09:10
xfce4-places-plugin-lang-1.8.3-r0.apk54.0 KiB2022-12-16 10:09:10
xfce4-smartbookmark-plugin-0.5.2-r0.apk10.1 KiB2023-08-03 16:00:55
xfce4-smartbookmark-plugin-lang-0.5.2-r0.apk20.7 KiB2023-08-03 16:00:55
xfce4-systemload-plugin-1.3.2-r0.apk30.6 KiB2023-05-02 15:22:40
xfce4-systemload-plugin-lang-1.3.2-r0.apk38.8 KiB2023-05-02 15:22:40
xfce4-timer-plugin-1.7.2-r0.apk26.0 KiB2023-04-18 19:11:01
xfce4-timer-plugin-lang-1.7.2-r0.apk48.8 KiB2023-04-18 19:11:01
xfce4-verve-plugin-2.0.3-r0.apk19.5 KiB2023-08-07 09:01:10
xfce4-verve-plugin-lang-2.0.3-r0.apk40.3 KiB2023-08-07 09:01:10
xfd-1.1.4-r0.apk13.5 KiB2022-12-04 09:27:44
xfd-doc-1.1.4-r0.apk4.9 KiB2022-12-04 09:27:44
xfe-1.46.1-r0.apk1.4 MiB2024-03-11 01:49:39
xfe-doc-1.46.1-r0.apk4.0 KiB2024-03-11 01:49:39
xfe-lang-1.46.1-r0.apk533.7 KiB2024-03-11 01:49:39
xfe-xfi-1.46.1-r0.apk278.4 KiB2024-03-11 01:49:39
xfe-xfp-1.46.1-r0.apk255.3 KiB2024-03-11 01:49:39
xfe-xfw-1.46.1-r0.apk298.3 KiB2024-03-11 01:49:39
xgalaga-2.1.1.0-r1.apk276.4 KiB2022-10-25 00:08:03
xgalaga-doc-2.1.1.0-r1.apk2.5 KiB2022-10-25 00:08:03
xiccd-0.3.0_git20211219-r1.apk17.9 KiB2022-06-16 15:52:04
xiccd-doc-0.3.0_git20211219-r1.apk3.3 KiB2022-06-16 15:52:04
xisxwayland-2-r1.apk4.2 KiB2023-07-30 16:34:15
xisxwayland-doc-2-r1.apk2.0 KiB2023-07-30 16:34:15
xkb-switch-1.8.5-r0.apk17.4 KiB2021-12-11 19:52:39
xkb-switch-doc-1.8.5-r0.apk1.9 KiB2021-12-11 19:52:39
xlhtml-0.5.1-r0.apk11.2 KiB2024-03-30 17:37:41
xlhtml-doc-0.5.1-r0.apk2.5 KiB2024-03-30 17:37:41
xload-1.1.4-r0.apk6.8 KiB2022-05-12 09:06:03
xload-doc-1.1.4-r0.apk3.2 KiB2022-05-12 09:06:03
xmag-1.0.7-r1.apk16.7 KiB2023-08-01 13:01:21
xmag-doc-1.0.7-r1.apk4.7 KiB2023-08-01 13:01:21
xml2rfc-3.21.0-r0.apk353.0 KiB2024-04-17 17:36:59
xml2rfc-pyc-3.21.0-r0.apk406.8 KiB2024-04-17 17:36:59
xmp-4.2.0-r0.apk22.0 KiB2023-08-20 21:56:14
xmp-doc-4.2.0-r0.apk5.3 KiB2023-08-20 21:56:14
xmpp-dns-0.2.4-r16.apk1.7 MiB2024-04-06 20:39:46
xmppipe-0.16.0-r1.apk16.3 KiB2024-02-01 21:05:36
xob-0.3-r0.apk10.2 KiB2023-02-12 00:20:13
xob-doc-0.3-r0.apk6.0 KiB2023-02-12 00:20:13
xone-src-0.3_git20230517-r0.apk43.4 KiB2023-07-26 06:30:58
xonsh-0.16.0-r0.apk577.8 KiB2024-05-06 21:45:30
xonsh-pyc-0.16.0-r0.apk1020.0 KiB2024-05-06 21:45:30
xosview-1.24-r0.apk144.2 KiB2024-03-25 15:44:49
xosview-doc-1.24-r0.apk12.5 KiB2024-03-25 15:44:49
xremap-wlr-0.8.18-r0.apk1.0 MiB2024-03-28 15:46:45
xsane-0.999-r1.apk1.5 MiB2022-12-16 08:25:44
xsane-doc-0.999-r1.apk4.3 KiB2022-12-16 08:25:44
xsane-lang-0.999-r1.apk440.4 KiB2022-12-16 08:25:44
xsecurelock-1.9.0-r1.apk65.0 KiB2024-04-12 00:01:50
xsecurelock-doc-1.9.0-r1.apk17.8 KiB2024-04-12 00:01:50
xsoldier-1.8-r1.apk70.0 KiB2022-10-25 00:08:03
xsoldier-doc-1.8-r1.apk2.6 KiB2022-10-25 00:08:03
xtensor-0.24.7-r0.apk270.2 KiB2024-01-12 18:06:09
xtitle-0.4.4-r1.apk7.0 KiB2022-10-25 00:08:03
xtl-0.7.7-r0.apk110.6 KiB2024-01-12 18:06:09
xva-img-1.4.2-r1.apk14.8 KiB2022-08-04 09:45:51
xvidtune-1.0.4-r0.apk17.2 KiB2023-02-05 00:37:37
xvidtune-doc-1.0.4-r0.apk4.2 KiB2023-02-05 00:37:37
xvkbd-4.1-r2.apk293.0 KiB2022-10-25 00:08:04
xvkbd-doc-4.1-r2.apk10.8 KiB2022-10-25 00:08:04
xwaylandvideobridge-0.4.0-r1.apk50.0 KiB2024-03-11 01:49:40
xwaylandvideobridge-lang-0.4.0-r1.apk11.0 KiB2024-03-11 01:49:40
yamkix-0.10.0-r1.apk14.2 KiB2024-04-16 00:00:31
yamkix-pyc-0.10.0-r1.apk11.8 KiB2024-04-16 00:00:31
yaru-common-23.10.0-r0.apk4.6 KiB2024-04-18 02:08:58
yaru-icon-theme-23.10.0-r0.apk34.8 MiB2024-04-18 02:09:02
yaru-icon-theme-bark-23.10.0-r0.apk1.0 MiB2024-04-18 02:09:02
yaru-icon-theme-blue-23.10.0-r0.apk1.1 MiB2024-04-18 02:09:02
yaru-icon-theme-magenta-23.10.0-r0.apk1.1 MiB2024-04-18 02:09:02
yaru-icon-theme-mate-23.10.0-r0.apk1.2 MiB2024-04-18 02:09:02
yaru-icon-theme-olive-23.10.0-r0.apk1.1 MiB2024-04-18 02:09:02
yaru-icon-theme-prussiangreen-23.10.0-r0.apk1.1 MiB2024-04-18 02:09:02
yaru-icon-theme-purple-23.10.0-r0.apk1.1 MiB2024-04-18 02:09:02
yaru-icon-theme-red-23.10.0-r0.apk1.1 MiB2024-04-18 02:09:02
yaru-icon-theme-sage-23.10.0-r0.apk1.1 MiB2024-04-18 02:09:03
yaru-icon-theme-viridian-23.10.0-r0.apk1.1 MiB2024-04-18 02:09:03
yaru-schemas-23.10.0-r0.apk1.8 KiB2024-04-18 02:09:03
yaru-shell-23.10.0-r0.apk745.6 KiB2024-04-18 02:09:03
yaru-sounds-23.10.0-r0.apk676.1 KiB2024-04-18 02:09:03
yaru-theme-23.10.0-r0.apk855.9 KiB2024-04-18 02:09:03
yaru-theme-bark-23.10.0-r0.apk772.9 KiB2024-04-18 02:09:03
yaru-theme-blue-23.10.0-r0.apk775.7 KiB2024-04-18 02:09:03
yaru-theme-hdpi-23.10.0-r0.apk78.2 KiB2024-04-18 02:09:03
yaru-theme-magenta-23.10.0-r0.apk771.7 KiB2024-04-18 02:09:03
yaru-theme-mate-23.10.0-r0.apk735.5 KiB2024-04-18 02:09:03
yaru-theme-olive-23.10.0-r0.apk769.2 KiB2024-04-18 02:09:03
yaru-theme-prussiangreen-23.10.0-r0.apk769.4 KiB2024-04-18 02:09:03
yaru-theme-purple-23.10.0-r0.apk767.1 KiB2024-04-18 02:09:03
yaru-theme-red-23.10.0-r0.apk770.2 KiB2024-04-18 02:09:03
yaru-theme-sage-23.10.0-r0.apk773.0 KiB2024-04-18 02:09:03
yaru-theme-viridian-23.10.0-r0.apk770.3 KiB2024-04-18 02:09:03
yazi-0.2.5-r0.apk4.1 MiB2024-04-28 22:26:24
yazi-bash-completion-0.2.5-r0.apk2.1 KiB2024-04-28 22:26:24
yazi-cli-0.2.5-r0.apk382.5 KiB2024-04-28 22:26:24
yazi-doc-0.2.5-r0.apk2.3 KiB2024-04-28 22:26:24
yazi-fish-completion-0.2.5-r0.apk1.8 KiB2024-04-28 22:26:24
yazi-zsh-completion-0.2.5-r0.apk2.1 KiB2024-04-28 22:26:24
ydcv-0.7-r7.apk7.7 KiB2024-04-16 00:00:31
ydcv-pyc-0.7-r7.apk10.6 KiB2024-04-16 00:00:31
ydcv-zsh-completion-0.7-r7.apk2.2 KiB2024-04-16 00:00:31
yices2-2.6.4-r0.apk2.2 MiB2023-02-10 05:17:33
yices2-dev-2.6.4-r0.apk41.0 KiB2023-02-10 05:17:33
yices2-libs-2.6.4-r0.apk832.6 KiB2023-02-10 05:17:33
yodl-4.02.00-r1.apk152.3 KiB2022-10-25 00:08:04
yodl-doc-4.02.00-r1.apk59.0 KiB2022-10-25 00:08:04
yoshimi-2.3.2-r0.apk6.1 MiB2024-04-18 13:54:37
yoshimi-doc-2.3.2-r0.apk4.5 MiB2024-04-18 13:54:37
yosys-0.36-r3.apk17.5 MiB2024-04-22 19:33:08
yosys-dev-0.36-r3.apk102.5 KiB2024-04-22 19:33:08
youki-0.3.1-r0.apk1.5 MiB2023-12-23 01:53:23
youki-dbg-0.3.1-r0.apk4.5 KiB2023-12-23 01:53:23
youtube-tui-0.8.0-r0.apk1.3 MiB2023-10-26 14:01:04
youtube-viewer-3.11.1-r0.apk83.5 KiB2024-03-13 03:31:32
youtube-viewer-doc-3.11.1-r0.apk40.8 KiB2024-03-13 03:31:32
youtube-viewer-gtk-3.11.1-r0.apk171.0 KiB2024-03-13 03:31:32
ytmdl-2023.11.26-r1.apk49.4 KiB2024-04-17 03:15:16
ytmdl-bash-completion-2023.11.26-r1.apk2.3 KiB2024-04-17 03:15:16
ytmdl-pyc-2023.11.26-r1.apk78.6 KiB2024-04-17 03:15:16
ytmdl-zsh-completion-2023.11.26-r1.apk2.2 KiB2024-04-17 03:15:16
yubikey-agent-0.1.6-r4.apk1.7 MiB2024-04-06 20:39:46
z-1.12-r0.apk4.6 KiB2023-12-10 22:38:02
z-doc-1.12-r0.apk3.9 KiB2023-12-10 22:38:02
zafiro-icon-theme-1.3-r0.apk19.2 MiB2023-02-05 02:03:25
zapret-0.0.0_git20220125-r0.apk90.0 KiB2022-02-02 11:47:21
zapret-doc-0.0.0_git20220125-r0.apk98.3 KiB2022-02-02 11:47:21
zapret-openrc-0.0.0_git20220125-r0.apk1.9 KiB2022-02-02 11:47:21
zarchive-0.1.2-r2.apk17.3 KiB2023-08-07 21:01:09
zarchive-dev-0.1.2-r2.apk6.8 KiB2023-08-07 21:01:09
zarchive-libs-0.1.2-r2.apk26.5 KiB2023-08-07 21:01:09
zfs-src-2.2.1-r0.apk32.5 MiB2024-01-11 22:42:53
zfsbootmenu-2.3.0-r0.apk128.3 KiB2024-05-05 23:27:41
zfsbootmenu-doc-2.3.0-r0.apk15.8 KiB2024-05-05 23:27:41
zile-2.6.2-r0.apk133.8 KiB2022-09-19 23:54:06
zile-doc-2.6.2-r0.apk15.8 KiB2022-09-19 23:54:06
zita-njbridge-0.4.8-r1.apk31.8 KiB2022-10-25 00:08:04
zita-njbridge-doc-0.4.8-r1.apk5.2 KiB2022-10-25 00:08:04
zita-resampler-1.10.1-r0.apk18.7 KiB2023-03-18 17:58:58
zita-resampler-dev-1.10.1-r0.apk3.4 KiB2023-03-18 17:58:58
zita-resampler-doc-1.10.1-r0.apk4.1 KiB2023-03-18 17:58:58
zkgroup-0.9.0-r1.apk285.3 KiB2023-05-24 09:34:26
znc-backlog-0_git20210503-r7.apk22.8 KiB2023-11-09 22:14:28
znc-clientbuffer-1.0.48-r7.apk19.2 KiB2023-11-09 22:14:28
znc-playback-0_git20210503-r7.apk21.1 KiB2023-11-09 22:14:28
znc-push-0_git20220823-r7.apk98.6 KiB2023-11-09 22:14:28
zrepl-0.6.1-r3.apk6.5 MiB2024-04-06 20:39:47
zrepl-bash-completion-0.6.1-r3.apk4.5 KiB2024-04-06 20:39:47
zrepl-openrc-0.6.1-r3.apk1.7 KiB2024-04-06 20:39:47
zrepl-zsh-completion-0.6.1-r3.apk2.0 KiB2024-04-06 20:39:47
zsh-fast-syntax-highlighting-1.55_git20230705-r0.apk74.7 KiB2023-12-17 12:15:03
zsh-fast-syntax-highlighting-doc-1.55_git20230705-r0.apk7.0 KiB2023-12-17 12:15:03
zsh-fzf-tab-0_git20220331-r0.apk15.9 KiB2022-05-27 16:34:51
zsh-histdb-skim-0.8.6-r0.apk746.0 KiB2023-05-29 21:17:43
zsh-manydots-magic-0_git20230607-r1.apk2.9 KiB2023-08-12 00:07:01
zycore-1.5.0-r0.apk22.7 KiB2024-04-05 22:35:58
zycore-dev-1.5.0-r0.apk38.4 KiB2024-04-05 22:35:58
zycore-doc-1.5.0-r0.apk394.1 KiB2024-04-05 22:35:58
zydis-4.1.0-r0.apk204.6 KiB2024-04-05 22:35:58
zydis-dev-4.1.0-r0.apk60.8 KiB2024-04-05 22:35:58
zydis-doc-4.1.0-r0.apk1.6 MiB2024-04-05 22:35:58